[Back]


Contributions to Books:

S. Pagani, K. Khdr, J. Chen, M. Shafique, M. Li, J. Henkel:
"Thermal Safe Power : Efficient Thermal-Aware Power Budgeting for Manycore Systems in Dark Silicon";
in: "The Dark Side of Silicon - Energy Efficient Computing in the Dark Silicon Era", Springer International Publishing, Switzerland, 2017, ISBN: 978-3-319-31596-6, 125 - 158.



English abstract:
Chip manufacturers commonly provide the Thermal Design Power (TDP) for a specific chip. The cooling solution is generally designed to dissipate this power level. But because TDP is not necessarily the maximum power that can be applied, in order to avoid the chip from possible overheating, chips are operated with Dynamic Thermal Management (DTM) techniques. To avoid excessive triggers of DTM, usually, system designers also use TDP as power constraint. However, using a single and constant value as power constraint, e.g., TDP, can result in significant performance losses in homogeneous and heterogeneous manycore systems. Having better power budgeting techniques is a major step towards dealing with the dark silicon problem. In this chapter, we present a power budget concept called Thermal Safe Power (TSP), which is an abstraction that provides safe power and power density constraints as a function of the number of simultaneously active cores. Executing cores at any power consumption below TSP ensures that DTM is not triggered. TSP can be computed offline for the worst cases, or online for a particular mapping of cores. TSP can also serve as a fundamental tool for guiding task partitioning and core mapping decisions, specially when core heterogeneity or timing guarantees are involved. Moreover, TSP results in dark silicon estimations which are less pessimistic than estimations using constant power budgets.


"Official" electronic version of the publication (accessed through its Digital Object Identifier - DOI)
http://dx.doi.org/10.1007/978-3-319-31596-6_5