Suchparameter:
  • Suche in Publikations-Datensätzen nach: "a. steininger" (strikte Suche)
  • Textsuche eingeschränkt auf Datenfelder: Namensfelder (Autor/innen usw.)
  • Suche eingeschränkt auf Publikationsarten: Im Druck erschienene Originalbeiträge
  • Suche eingeschränkt auf Zeitraum: Alle Daten in der Datenbank
Mit Ihren Suchparametern wurden 208 passende Datensätze gefunden:
187 - Fakultät für Informatik
21 - Fakultät für Elektrotechnik und Informationstechnik

Nur die ersten 200 Datensätze werden angezeigt. Bitte passen Sie Ihre Such-Parameter an!






Zeitschriftenartikel:


  1. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger, M. Horauer:
    "Towards a Systematic Test for Embedded Automotive Communication Systems";
    IEEE Transactions on Industrial Informatics, 4 (2008), 3; S. 145 - 208.

    Zusätzliche Informationen

  2. Quelle: Fakultät für Informatik

    P. Behal, F. Huemer, R. Najvirt, A. Steininger, Z. Tabassam:
    "Towards Explaining the Fault Sensitivity of Different QDI Pipeline Styles";
    TCVLSI Newsletter (eingeladen), 7 (2021), 4; 1 S.

  3. Quelle: Fakultät für Informatik

    D. Dolev, M Függer, C. Lenzen, U. Schmid, A. Steininger:
    "Fault-tolerant Distributed Systems in Hardware";
    Bulletin of the EATCS, 2 (2015), 116; 43 S.

  4. Quelle: Fakultät für Informatik

    D. Dolev, M Függer, M. Posch, U. Schmid, A. Steininger, C. Lenzen:
    "Rigorously modeling self-stabilizing fault-tolerant circuits: An ultra-robust clocking scheme for systems-on-chip";
    Journal of Computer and System Sciences, 80 (2014), 4; S. 860 - 900.

    Zusätzliche Informationen

  5. Quelle: Fakultät für Informatik

    W. Dür, M. Függer, A. Steininger:
    "Generation of a fault-tolerant clock through redundant crystal oscillators";
    Microelectronics Reliability, 120 (2021), 11 S.

    Zusätzliche Informationen

  6. Quelle: Fakultät für Informatik

    M Függer, A. Steininger, E. Armengaud:
    "Safely Stimulating the Clock Synchronization Algorithm in Time-Triggered Systems - A Combined Formal and Experimental Approach";
    IEEE Transactions on Industrial Informatics, 5 (2009), 2; S. 132 - 145.

    Zusätzliche Informationen

  7. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    M. Hofbauer, K. Schweiger, H. Dietrich, H. Zimmermann, K.O. Voss, B Merk, U. Schmid, A. Steininger:
    "Pulse Shape Measurements by On-chip Sense Amplifiers of Single Event Transients Propagating Through a 90 nm Bulk CMOS Inverter Chain";
    IEEE Transactions on Nuclear Science, vol 59 (2012), S. 2778 - 2784.

  8. Quelle: Fakultät für Informatik

    M. Hofbauer, K. Schweiger, H. Zimmermann, U. Giesen, F. Langner, U. Schmid, A. Steininger:
    "Supply Voltage Dependent On-Chip Single-Event Transient Pulse Shape Measurements in 90-nm Bulk CMOS Under Alpha Irradiation";
    IEEE Transactions on Nuclear Science, 60 (2013), 4; S. 2640 - 2646.

    Zusätzliche Informationen

  9. Quelle: Fakultät für Informatik

    F. Huemer, A. Steininger:
    "Novel Approaches for Efficient Delay-Insensitive Communication";
    Journal of Low Power Electronics and Applications, 9 (2019), 16; 41 S.

    Zusätzliche Informationen

  10. Quelle: Fakultät für Informatik

    J. Maier, C. Hartl-Nesic, A. Steininger:
    "Simulation-Based Approaches for Comprehensive Schmitt-Trigger Analyses";
    IEEE Transactions on Circuits and Systems-I: Regular Papers, Dec (2021), S. 1 - 14.

    Zusätzliche Informationen

  11. Quelle: Fakultät für Informatik

    T. Polzer, F. Huemer, A. Steininger:
    "An Experimental Study of Metastability-Induced Glitching Behavior";
    Journal of Circuits, Systems, and Computers, 28 (2019), Suppl 1; 21 S.

    Zusätzliche Informationen

  12. Quelle: Fakultät für Informatik

    T. Polzer, F. Huemer, A. Steininger:
    "Refined Metastability Characterization Using a Time-to-Digital Converter";
    Microelectronics Reliability, 80 (2018), S. 91 - 99.

    Zusätzliche Informationen

  13. Quelle: Fakultät für Informatik

    T. Polzer, R. Najvirt, F. Beck, A. Steininger:
    "On the Appropriate Handling of Metastable Voltages in FPGAs";
    Journal of Circuits, Systems, and Computers, 25 (2015), 3; S. 1640020-1 - 1640020-25.

    Zusätzliche Informationen

  14. Quelle: Fakultät für Informatik

    T. Polzer, A. Steininger:
    "A Model for the Metastability Delay of Sequential Elements";
    Journal of Circuits, Systems, and Computers, 26 (2017), 8; S. 174001001 - 174001022.

    Zusätzliche Informationen

  15. Quelle: Fakultät für Informatik

    B. Rahbaran, M Függer, A. Steininger:
    "Embedded Real-Time-Tracer -- An Approach with IDE";
    Telematik, 3-4 (2004), S. 16 - 20.

    Zusätzliche Informationen

  16. Quelle: Fakultät für Informatik

    B. Rahbaran, A. Steininger:
    "Is Asynchronous Logic More Robust Than Synchronous Logic?";
    IEEE Transactions on Dependable and Secure Computing, 6 (2009), 4; S. 282 - 294.

    Zusätzliche Informationen

  17. Quelle: Fakultät für Informatik

    T. Reinbacher, J. Brauer, M. Horauer, A. Steininger, S. Kowalewski:
    "Runtime verification of microcontroller binary code";
    Science of Computer Programming, 80 (2014), S. 109 - 129.

    Zusätzliche Informationen

  18. Quelle: Fakultät für Informatik

    S. Resch, A. Steininger, C. Scherrer:
    "A Composable Real-Time Architecture for Replicated Railway Applications";
    Journal of Systems Architecture, 61 (2015), 9; S. 472 - 485.

    Zusätzliche Informationen

  19. Quelle: Fakultät für Informatik

    C. Scherrer, A. Steininger:
    "Dealing With Dormant Faults in an Embedded Fault-Tolerant Computer System";
    IEEE Transactions on Reliability, 52 (2003), 4; S. 512 - 522.

    Zusätzliche Informationen

  20. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    C. Scherrer, A. Steininger:
    "Vom Lenkrad zum Joystick";
    E&I Elektrotechnik und Informationstechnik, vol. 117 (2000), S. 714 - 720.

    Zusätzliche Informationen

  21. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    C. Scherrer, A. Steininger et al.:
    "Assessment of Computer Fault Tolerance - A Fault-Injection Toolset an the Rationale behind It";
    Computer Standards and Interfaces, vol 21, oct (1999), S. 357 - 369.

  22. Quelle: Fakultät für Informatik

    U. Schmid, A. Steininger, M. Sust:
    "FIT-IT Projekt DARTS: Dezentrale fehlertolerante Taktgenerierung";
    Elektrotechnik und Informationstechnik (e&i), Heft 1-2 (2007), S. 3 - 8.

    Zusätzliche Informationen

  23. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger et al.:
    "Protokollierung und Auswertung von Meßdaten in Echtzeit mit dem PC am Beispiel der Materialprüfung";
    TM - Technisches Messen, 1 (1997), S. 12 - 20.

  24. Quelle: Fakultät für Informatik

    A. Steininger:
    "Testing and Built-in-Self-Test - A Survey";
    Journal of Systems Architecture, 46 (2000), S. 721 - 747.

  25. Quelle: Fakultät für Informatik

    A. Steininger, G. Fuchs:
    "VLSI Implementation of a Distributed Algorithm for Fault-Tolerant Clock Generation";
    Journal of Electrical and Computer Engineering, Clock/Frequency Generation Circuits and Systems (2011), 936712; S. 23.

    Zusätzliche Informationen

  26. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger, Ch. Mittermayer:
    "On the Determination of Dynamic Errors for Rise Time Measurement With an Oscilloscope";
    IEEE Transactions on Instrumentation and Measurement, vol 48, issue 6 (1999), S. 1003 - 1007.

  27. Quelle: Fakultät für Informatik

    A. Steininger, C. Scherrer:
    "Identifying Efficient Combinations of Error Detection Mechanisms Based on Results of Fault-Injection Experiments";
    IEEE Transactions on Computers, 51 (2002), 2; S. 235 - 239.

  28. Quelle: Fakultät für Informatik

    A. Steininger, C. Scherrer:
    "Vom Lenkrad zum Joystick";
    Elektrotechnik und Informationstechnik (e&i), 11 (2000), S. 714 - 720.

  29. Quelle: Fakultät für Informatik

    A. Steininger, P Tummeltshammer:
    "Replicated processors on a single die - How independently do they fail?";
    Journal e&i: Elektrotechnik und Informationstechnik, 128 (2011), S. 245 - 250.

    Zusätzliche Informationen

  30. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger, H. Zimmermann, A. Jantsch, M. Hofbauer, U. Schmid, K. Schweiger, V. S. Veeravalli:
    "Building reliable systems-on-chip in nanoscale technologies";
    E&I Elektrotechnik und Informationstechnik, 132 (2015), 6; S. 301 - 306.

    Zusätzliche Informationen

  31. Quelle: Fakultät für Informatik

    K. Thaller, A. Steininger:
    "A Transparent Online Memory Test for Simultaneous Detection of Functional Faults and Soft Errors in Memories";
    IEEE Transactions on Reliability, 52 (2003), 4; S. 413 - 422.

    Zusätzliche Informationen

  32. Quelle: Fakultät für Informatik

    V. S. Veeravalli, T. Polzer, U. Schmid, A. Steininger, M. Hofbauer, K. Schweiger, H. Dietrich, K. Schneider-Hornstein, H. Zimmermann, K. Voss, B. Merk, M. Hajek:
    "An infrastructure for accurate characterization of single-event transients in digital circuits";
    Microprocessors and Microsystems, 37 (2013), S. 772 - 791.

    Zusätzliche Informationen

  33. Quelle: Fakultät für Informatik

    V. S. Veeravalli, A. Steininger, U. Schmid:
    "A versatile architecture for long-term monitoring of single-event transient durations";
    Microprocessors and Microsystems, 53 (2017), C; S. 130 - 144.

    Zusätzliche Informationen


Editorials in wiss. Zeitschriften:


  1. Quelle: Fakultät für Informatik

    M. Krstic, I. Jones, A. Steininger, M Függer:
    "Special Issue "Selected Papers from the 24th IEEE International Symposium on Asynchronous Circuits and Systems - ASYNC 2018"";
    Journal of Low Power Electronics and Applications, 9 (2019), 2; 2 S.

  2. Quelle: Fakultät für Informatik

    A. Steininger, A. Pawlak, V. Stopjakova:
    "Novel Trends in Design & Test";
    Journal of Circuits, Systems, and Computers, 26 (2017), 80 S.


Buchbeiträge:


  1. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    L. Gurtner, A. Steininger:
    "Digitale Zählerbausteine";
    in: "Elektrische Meßtechnik", R. Patzelt, H. Schweinzer (Hrg.); Springer-Verlag, 1996, ISBN: 3-211-82873-7, S. 235 - 238.

  2. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    Ch. Mittermayer, A. Steininger:
    "Meßgrößen-Bewertung, Diskriminator, Schwellwertschalter, Schwellwertverstärker";
    in: "Elektrische Meßtechnik", R. Patzelt, H. Schweinzer (Hrg.); Springer-Verlag, 1996, ISBN: 3-211-82873-7, S. 226 - 234.

  3. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    R. Patzelt, A. Steininger:
    "Analogszilloskopie";
    in: "Elektrische Meßtechnik", R. Patzelt, H. Schweinzer (Hrg.); Springer-Verlag, 1996, ISBN: 3-211-82873-7, S. 395 - 414.

  4. Quelle: Fakultät für Informatik

    J. Reisinger, A. Steininger, G. Leber:
    "The Implementation of the MARS Hardware and Software";
    in: "Predicatbly Dependable Computing Systems", B. Randell, J. Laprie, H. Kopetz, B. Littlewood (Hrg.); herausgegeben von: ESPRIT Basic Research Series; Springer International Publishing, 1995, ISBN: 3-540-59334-9, S. 209 - 224.

  5. Quelle: Fakultät für Informatik

    A. Steininger:
    "Fifty Shades of Synchrony";
    in: "This Asynchronous Woirld", A. Mokhov (Hrg.); Newcastle University, Newcastle upon Tyne, 2016, (eingeladen), ISBN: 978-0-7017-0257-1, S. 294 - 300.

    Zusätzliche Informationen

  6. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger:
    "Zeit-und Frequenzmessung";
    in: "Elektrische Meßtechnik", R. Patzelt, H. Schweinzer (Hrg.); Springer-Verlag, 1996, ISBN: 3-211-82873-7, S. 376 - 385.

  7. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger, R. Ertl:
    "Meßgeräte, Meßmethoden";
    in: "Elektrische Meßtechnik", R. Patzelt, H. Schweinzer (Hrg.); Springer-Verlag, 1996, ISBN: 3-211-82873-7, S. 291 - 328.


Beiträge in Tagungsbänden:


  1. Quelle: Fakultät für Informatik

    E. Armengaud, F Rothensteiner, A. Steininger, R. Pallierer, M. Horauer, M Zauner:
    "A Structured Approach for the Systematic Test of Embedded Automotive Communication Systems";
    in: "Proceedings International Test Conference 2005", IEEE Computer Society, 2005, ISBN: 0-7803-9039-3, S. 21 - 28.

    Zusätzliche Informationen

  2. Quelle: Fakultät für Informatik

    F. Huemer, T. Polzer, A. Steininger:
    "Using a Duplex Time-to-Digital Converter for Metastability Characterization of an FPGA";
    in: "2018 IEEE 21st International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS)", herausgegeben von: IEEE CS Press; IEEE Xplore Digital Library, 2018, ISBN: 978-1-5386-5754-6, S. 141 - 146.

    Zusätzliche Informationen

  3. Quelle: Fakultät für Informatik

    F. Huemer, A. Steininger:
    "Advanced Delay-Insensitive 4-Phase Protocols";
    in: "2018 Austrochip Workshop on Microelectronics (Austrochip)", herausgegeben von: IEEE CS Press; IEEE Xplore Digital Library, 2018, ISBN: 978-1-5386-8200-5, S. 50 - 55.

    Zusätzliche Informationen

  4. Quelle: Fakultät für Informatik

    F. Huemer, A. Steininger:
    "Partially Systematic Constant-Weight Codes for Delay-Insensitive Communication";
    in: "2018 24th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)", herausgegeben von: IEEE CS Press; IEEE Xplore Digital Library, 2018, ISBN: 978-1-5386-5883-3, S. 17 - 25.

    Zusätzliche Informationen

  5. Quelle: Fakultät für Informatik

    R. Pallierer, M. Horauer, M Zauner, A. Steininger, E. Armengaud, F Rothensteiner:
    "A Generic Tool for Systematic Tests in Embedded Automotive Communication Systems";
    in: "Embedded World 2005", unbekannt, 2005.

    Zusätzliche Informationen

  6. Quelle: Fakultät für Informatik

    M. Schütz, A. Steininger, F. Huemer, J. Lechner:
    "State Recovery for Coarse-Grain TMR Designs in FPGAs Using Partial Reconfiguration";
    in: "2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)", herausgegeben von: IEEE CS Press; IEEE Xplore Digital Library, 2018, ISBN: 978-1-5386-8398-9, 6 S.

    Zusätzliche Informationen


Editorials in Tagungsbänden:


  1. Quelle: Fakultät für Informatik

    E. Brunvand, K. Stevens, M. Moreira, A. Steininger:
    "Welcome Message: ASYNC 2020";
    in: "Proceedings of the 26th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)", IEEE Computer Society, 2020, (eingeladen), ISBN: 978-1-7281-5495-4, 2 S.

  2. Quelle: Fakultät für Informatik

    L. Sekanina, M. Shafique, M. Krstic, A. Steininger, G. Stojanovic:
    "Foreword";
    in: "Proceedings 24th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems", IEEE, 2021, (eingeladen), ISBN: 978-1-6654-3595-6, 1 S.


Vorträge und Posterpräsentationen (mit Tagungsband-Eintrag):


  1. Quelle: Fakultät für Informatik

    K. Ambrosch, M. Humenberger, W. Kubinger, A. Steininger:
    "Extending two non-parametric transforms for FPGA based stereo matching using bayer filtered cameras";
    Vortrag: IEEE Conference on Computer Vision and Pattern Recognition, 2008. CVPR '08, Anchorage, Alaska, USA; 23.06.2008 - 28.06.2008; in: "CVPR Workshops 2008. IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops, 2008.", (2008), ISBN: 978-1-4244-2339-2; S. 1 - 8.

    Zusätzliche Informationen

  2. Quelle: Fakultät für Informatik

    K. Ambrosch, M. Humenberger, W. Kubinger, A. Steininger:
    "Hardware Implementation of an SAD based stereo vision algorithm";
    Vortrag: Third IEEE Workshop on Embedded Computer Vision, Minneapolis; 23.06.2007; in: "Proceedings of Third IEEE Workshop on Embedded Computer Vision", (2007).

    Zusätzliche Informationen

  3. Quelle: Fakultät für Informatik

    M. Andjelkovic, M. Krstic, R. Kraemer, V. S. Veeravalli, A. Steininger:
    "A Critical Charge Model for Estimating the SET and SEU Sensitivity: A Muller C-Element Case Study";
    Vortrag: The 26th IEEE Asian Test Symposium (ATS´17), Taipei, Taiwan; 27.11.2017 - 30.11.2017; in: "Proceedings of the 26th IEEE Asian Test Symposium (ATS´17)", (2017), S. 1 - 6.

    Zusätzliche Informationen

  4. Quelle: Fakultät für Informatik

    L. Anghel, V. S. Veeravalli, D. Alexandrescu, A. Steininger, K. Schneider, E. Costenaro:
    "Single Event Effects in Muller C-Elements and Asynchronous Circuits Over a Wide Energy Spectrum";
    Vortrag: 2014 IEEE Workshop on Silicon Errors in Logic - System Effects (SELSE 10), Stanford University, USA; 01.04.2014 - 02.04.2014; in: "Proceedings 2014 IEEE Workshop on Silicon Errors in Logic - System Effects (SELSE 10)", (2014), 6 S.

    Zusätzliche Informationen

  5. Quelle: Fakultät für Informatik

    E. Armengaud, M Függer, A. Steininger:
    "Safe deterministic replay for stimulating the clock synchronization algorithm in time-triggered systems";
    Vortrag: WFCS, Dresden, Germany; 20.05.2008 - 23.05.2008; in: "IEEE International Workshop on Factory Communication Systems, 2008. WFCS 2008.", (2008), ISBN: 978-1-4244-2349-1; S. 277 - 286.

    Zusätzliche Informationen

  6. Quelle: Fakultät für Informatik

    E. Armengaud, F Rothensteiner, A. Steininger, M. Horauer:
    "A Flexible Hardware Architecture for Fast Access on Large Non-Volatile Memories";
    Vortrag: IEEE International Workshop on Desgin & Diagnostic of Electronic Circuits and Systems, Sopron; 13.04.2005 - 16.04.2005; in: "Proceedings of IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS) 2005", (2005), S. 113 - 120.

    Zusätzliche Informationen

  7. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger:
    "A Remote and Transparent Approach for the Test and Diagnosis of Automotive Networks";
    Poster: Junior Scientist Conference, Wien; 19.04.2006 - 21.04.2006; in: "Junior Scientist Conference 2006", (2006).

    Zusätzliche Informationen

  8. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger:
    "Automatic Parameter Identification in FlexRay Based Automotive Communication Networks";
    Vortrag: IEEE International Conference on Emerging Technologies and Factory Automation, Prag; 20.09.2006 - 22.09.2006; in: "11th IEEE International Conference on Emerging Technologies and Factory Automation", (2006), S. 897 - 904.

    Zusätzliche Informationen

  9. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger:
    "Pushing the Limits of Remote Online Diagnosis in FlexRay Networks";
    Vortrag: IEEE International Workshop on Factory Communication Systems, Torino; 27.06.2006 - 30.06.2006; in: "6th IEEE International Workshop on Factory Communication Systems", (2006).

    Zusätzliche Informationen

  10. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger:
    "Remote Measurement of Local Oscillator Drifts in FlexRay Networks";
    Vortrag: DATE 2009 (Design, Automation and Test in Europe), Nice, France; 20.04.2009 - 24.04.2009; in: "DATE09", Springer, (2009), ISBN: 9783981080155; S. 1082 - 1087.

    Zusätzliche Informationen

  11. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger, A. Hanzlik:
    "The Effect of Quartz Drift on Convergence-Average based Clock Synchronization";
    Vortrag: IEEE International Conference on Emerging Technologies and Factory Automation (ETFA), Patras; 25.09.2007 - 28.09.2007; in: "Proceedings of the 12th IEEE Conference on Emerging Technologies and Factory Automation", (2007), S. 1123 - 1130.

    Zusätzliche Informationen

  12. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger, M. Horauer:
    "A Method for Bit Level Test and Diagnosis of Communication Services";
    Vortrag: IEEE International Workshop on Desgin & Diagnostic of Electronic Circuits and Systems, Sopron; 13.04.2005 - 16.04.2005; in: "Proceedings of IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS) 2005", (2005), S. 69 - 74.

    Zusätzliche Informationen

  13. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger, M. Horauer:
    "An Efficient Test and Diagnosis Environment for Communication Controllers";
    Vortrag: Austrochip, Wien; 06.10.2005; in: "Austrochip 2005", ???, (2005).

    Zusätzliche Informationen

  14. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger, M. Horauer:
    "Efficient Stimulus Genereation for Remote Testing of Distributed Systems - The Flexray Example";
    Vortrag: ETFA, Catania, Italy; 19.09.2005 - 22.09.2005; in: "Proceedings of the 10th IEEE Internationla Conference on Emerging Technologies and Factory Automation", IEEE, I (2005), S. 763 - 770.

    Zusätzliche Informationen

  15. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger, M. Horauer, R. Pallierer:
    "A Layer Model for the Systematic Test of Time-Triggered Automotive Communication Systems";
    Vortrag: IEEE International Workshop on Factory Communication Systems, Vienna,Austria; 22.09.2004 - 24.09.2004; in: "IEEE Workshop on Factory Communication Systems (WFCS 04)", IEEE Catalog Number 04TH8777 (2004), ISBN: 0-7803-8734-1; S. 275 - 283.

    Zusätzliche Informationen

  16. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger, M. Horauer, R. Pallierer:
    "Design Trade-offs for Systematic Tests of Embedded Communication Systems";
    Vortrag: IEEE International Conference on Dependable Systems and Networks, Florence, Italy; 28.07.2004 - 01.08.2004; in: "International Conference on Dependable Systems and Networks (DSN 2004)", (2004), S. 118 - 119.

    Zusätzliche Informationen

  17. Quelle: Fakultät für Informatik

    E. Armengaud, A. Steininger, M. Horauer, R. Pallierer, H. Friedl:
    "A Monitoring Concept for an Automotive Distributed Network - The FlexRay Example";
    Vortrag: 7th IEEE International Workshop on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2004), Stara Lesna, Slovakia; 18.04.2004 - 21.04.2004; in: "Proceedings of the 7th Workshop on Design and Diognostics of Electronic Circuits and Systems", (2004), ISBN: 80-969117-9-1; S. 173 - 178.

    Zusätzliche Informationen

  18. Quelle: Fakultät für Informatik

    P. Behal, F. Huemer, R. Najvirt, A. Steininger:
    "An Automated Setup for Large-Scale Simulation-Based Fault-Injection Experiments on Asynchronous Digital Circuits";
    Vortrag: 24th Euromicro Conference on Digital System Design, Palermo, Italy; 01.09.2021 - 03.09.2021; in: "Proceedings of the 24th Euromicro Conference on Digital System Design", (2021), S. 1 - 8.

    Zusätzliche Informationen

  19. Quelle: Fakultät für Informatik

    P. Behal, F. Huemer, R. Najvirt, Z. Tabassam, A. Steininger:
    "Towards Explaining the Fault Sensitivity of Different QDI Pipeline Styles";
    Vortrag: 27th IEEE International Symposium on Asynchronous Circuits and Systems, online; 07.09.2021 - 10.09.2021; in: "Proceedings 27th IEEE International Symposium on Asynchronous Circuits and Systems", (2021), S. 1 - 8.

    Zusätzliche Informationen

  20. Quelle: Fakultät für Informatik

    M. Delvai, C. El Salloum, A. Steininger:
    "A Generic Real-time Debugger Architecture";
    Vortrag: World Multiconference on Systemics, cybernetics and Informatics, Orlando, Florida; 27.07.2003 - 30.07.2003; in: "The 7th World Multiconference on Systemics, Cybernetics and Informatics", (2003), S. 65 - 70.

  21. Quelle: Fakultät für Informatik

    M. Delvai, G. Fuchs, T. Handl, W. Huber, A. Steininger:
    "Design of an Asynchronous Microprocessor with Four-State Logic";
    Vortrag: Austrochip, Wien; 06.10.2005; in: "Austrochip 2005", (2005), S. 105 - 112.

    Zusätzliche Informationen

  22. Quelle: Fakultät für Informatik

    M. Delvai, W. Huber, P. Puschner, A. Steininger:
    "Processor Support for Temporal Predictability - The SPEAR Design Example";
    Vortrag: 15th Euromicro Conference on Real-Time Systems, Porto, Portugal; 02.07.2003 - 04.07.2003; in: "Proceedings of the 15 Euromicro International Conference on Real-Time Systems", (2003), S. 169 - 176.

    Zusätzliche Informationen

  23. Quelle: Fakultät für Informatik

    M. Delvai, W. Huber, B. Rahbaran, A. Steininger:
    "An FPGA-Based Development Platform for the virtual Real-Time Processor Component SPEAR";
    Vortrag: IEEE Design and Diagnostics of Electronic Circuits and Systems (IEEE DDECS 2002), Brno, Czech Republic; 17.04.2002 - 19.04.2002; in: "Proceedings of IEEE Design and Diagnostics of Electronic Circuits and Systems Workshop", (2002), S. 98 - 105.

    Zusätzliche Informationen

  24. Quelle: Fakultät für Informatik

    M. Delvai, W. Huber, B. Rahbaran, A. Steininger:
    "SPEAR-Design-Entscheidungen für den "Scalable Processor for Embeded Application in Real-Time Environment"";
    Vortrag: Austrochip, wien; 12.10.2001; in: "Die Österreichische Tagnung zum Themenbereich Mikroelektronik", (2001), S. 25 - 32.

    Zusätzliche Informationen

  25. Quelle: Fakultät für Informatik

    M. Delvai, M. Jankela, A. Steininger:
    "Towards Virtual Prototyping of Embedded Computer Systems";
    Poster: The 7th World Multiconference on Systemics, Cybernetics and Informatics, Orlando, Florida; 27.07.2003 - 30.07.2003; in: "Proceedings, Volume I, Information Systems, Technologies and Applications", (2003), S. 70 - 75.

  26. Quelle: Fakultät für Informatik

    M. Delvai, A. Steininger:
    "A Practical Comparison of Logic Design Styles";
    Vortrag: The 3rd International Conference on Cybernetics and Information Technologies, Systems and Applications, Orlando; 20.07.2006 - 23.07.2006; in: "The 3rd International Conference on Cybernetics and Information Technologies, Systems and Applications - Volume 3", (2006), S. 61 - 66.

    Zusätzliche Informationen

  27. Quelle: Fakultät für Informatik

    M. Delvai, A. Steininger:
    "Asynchronous Logic Design - from Concepts to Implementation";
    Vortrag: The 3rd International Conference on Cybernetics and Information Technologies, Systems and Applications, Orlando; 20.07.2006 - 23.07.2006; in: "The 3rd International Conference on Cybernetics and Information Technologies, Systems and Applications - Volume 1", (2006), S. 81 - 86.

    Zusätzliche Informationen

  28. Quelle: Fakultät für Informatik

    M. Delvai, A. Steininger:
    "Solving the Fundamental Problem of Digital Design -- A Systematic Review of Design Methods";
    Poster: 9th Euromicro Conference on Digital System Design, Dubrovnik; 30.08.2006 - 01.09.2006; in: "9th Euromicro Conference on Digital System Design - Architectures, Methods and Tools", (2006), S. 131 - 136.

    Zusätzliche Informationen

  29. Quelle: Fakultät für Informatik

    M. Delvai, A. Steininger:
    "Teaching Hardware Software Codesign to Software Engineers";
    Vortrag: 1st International Workshop on Reconfigurable Computing Education, Karlsruhe; 01.03.2006; in: "International Workshop on Reconfigurable Computing Education", (2006).

    Zusätzliche Informationen

  30. Quelle: Fakultät für Informatik

    W. Dür, A. Steininger:
    "Merging Redundant Crystal Oscillators into a Fault-Tolerant Clock";
    Vortrag: 23rd IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Novi Sad; 22.04.2020 - 24.04.2020; in: "Proceedings 23rd IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems", Ieee Cs, (2020), S. 1 - 6.

  31. Quelle: Fakultät für Informatik

    C. El Salloum, A. Steininger, P Tummeltshammer:
    "Recovery Mechanisms for Dual Core Architectures";
    Vortrag: IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT ), Washington DC, USA; 04.10.2006 - 06.10.2006; in: "21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, DFT 2006, Proceedings", (2006), ISBN: 0-7695-2706-x; S. 380 - 388.

    Zusätzliche Informationen

  32. Quelle: Fakultät für Informatik

    R. El Shahaby, A. Steininger:
    "Analysis of State Corruption caused by Permanent Faults in WCHB-based Quasi Delay-Insensitive Pipelines";
    Vortrag: 24th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Wien; 07.04.2021 - 09.04.2021; in: "Proceedings 24th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems", Ieee Cs, (2021), ISBN: 978-1-6654-3595-6; S. 1 - 6.

  33. Quelle: Fakultät für Informatik

    R. El Shahaby, A. Steininger:
    "On the Effects of Permanent Faults in QDI Circuits - A Quantitative Perspective";
    Vortrag: IEEE International Conference on Computer Design, Hartford, Connecticut, USA; 18.10.2020 - 21.10.2020; in: "Proceedings IEEE International Conference on Computer Design", (2020), S. 1 - 4.

  34. Quelle: Fakultät für Informatik

    M. Ferringer, G. Fuchs, A. Steininger, G. Kempf:
    "VLSI Implementation of a Fault-Tolerant Distributed Clock Generation";
    Vortrag: International Symp. on Defect and Fault Tolerance in VLSI-Systems, Arlington; 04.10.2006 - 06.10.2006; in: "The 21st IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems", (2006), S. 563 - 571.

    Zusätzliche Informationen

  35. Quelle: Fakultät für Informatik

    W. Forster, C. Kutschera, A. Steininger, K. Göschka:
    "Automated Generation of Explicit Connectors for Component Based Hardware/Software Interaction in Embedded Real-Time Systems";
    Vortrag: 16th International Workshop on Parallel and Distributed Real-Time Systems (WPDRTS 2008), (IPDPS 2008), Miami, Florida, USA; 14.04.2008; in: "Proceedings of the 16th International Workshop on Parallel and Distributed Real-Time Systems (WPDRTS 2008), (IPDPS 2008)", IEEE Computer Society, (2008), ISBN: 978-1-4244-1694-3; S. 1 - 8.

  36. Quelle: Fakultät für Informatik

    W. Friesenbichler, T. Panhofer, A. Steininger:
    "A Deterministic Approach for Hardware Fault Injection in Asynchronous QDI Logic";
    Vortrag: DDECS 2010 (Design and Diagnostics of Electronic Circuits and Systems), Vienna, Austria; 14.04.2010 - 16.04.2010; in: "13th IEEE International Symposium On Design And Diagnostics Of Electronic Cicruits And Systems", IEEE, (2010), ISBN: 9781424466108; S. 317 - 322.

    Zusätzliche Informationen

  37. Quelle: Fakultät für Informatik

    W. Friesenbichler, T. Panhofer, A. Steininger:
    "Implementation of Self-Healing Asynchronous Circuits at the Example of a Video-Processing Algorithm";
    Vortrag: WSDN 2010 (4th Workshop on Dependable and Secure Nanocomputing, Chicago, IL, USA; 28.06.2010 - 01.07.2010; in: "WSDN - Full Program", IEEE Computer Socitey, (2010), ISBN: 9781424477289; S. 129 - 134.

    Zusätzliche Informationen

  38. Quelle: Fakultät für Informatik

    W. Friesenbichler, T. Panhofer, A. Steininger:
    "Reliability Estimation and Experimental Results of a Self-Healing Asynchronous Circuit: A Case Study";
    Vortrag: NASA/ESA 2010 (Conference on Adaptive Hardware and Systems), Anaheim, CA, USA; 15.06.2010 - 18.06.2010; in: "NASA/ESA 2010 Proceedings", IEEE Computer Society, (2010), ISBN: 9781424458882; S. 97 - 104.

    Zusätzliche Informationen

  39. Quelle: Fakultät für Informatik

    W. Friesenbichler, A. Steininger:
    "Soft Error Tolerant Asynchronous Circuits based on Dual Redundant Four State Logic";
    Vortrag: DSD 2009 (Euromicro Conference on Digital System Design), Patras, Greece; 27.08.2009 - 29.08.2009; in: "12th EUROMICRO CONFERENCE ON DIGITAL SYSTEM DESIGN - Architectures, Methods and Tools - DSD 2009", IEEE Computer Society, (2009), ISBN: 9780769537825; S. 100 - 107.

    Zusätzliche Informationen

  40. Quelle: Fakultät für Informatik

    B. Fritz, V. S. Veeravalli, A. Steininger:
    "Reliable Gateway for Radiation Experiments on a VLSI Chip";
    Poster: Austrochip 2012, Graz, Austria; 10.10.2012; in: "Austrochip 2012", (2012), S. 65 - 70.

    Zusätzliche Informationen

  41. Quelle: Fakultät für Informatik

    B. Fritz, V. S. Veeravalli, A. Steininger, V. Simek:
    "Setup for an Experimental Study of Radiation Effects in 65nm CMOS";
    Vortrag: 20th Euromicro Conference on Digital System Design, Wien; 30.08.2017 - 01.09.2017; in: "Proceedings of the 20th Euromicro Conference on Digital System Design", (2017), S. 329 - 336.

    Zusätzliche Informationen

  42. Quelle: Fakultät für Informatik

    G. Fuchs, M Függer, U. Schmid, A. Steininger:
    "Mapping a Fault-Tolerant Distributed Algorithm to Systems on Chip";
    Vortrag: 11th EUROMICRO Conference on Digital System Design (DSD 2008), Parma, Italien; 03.09.2008 - 05.09.2008; in: "11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools, DSD 2008.", IEEE, (2008), ISBN: 978-0-7695-3277-6; S. 242 - 249.

    Zusätzliche Informationen

  43. Quelle: Fakultät für Informatik

    G. Fuchs, M Függer, A. Steininger:
    "On the Threat of Metastability in an Asynchronous Fault-Tolerant Clock Generation Scheme";
    Vortrag: ASYNC 2009 (International Symposium on Asynchronous Circuits and Systems), Chapel Hill, North Carolina; 17.05.2009 - 20.05.2009; in: "ASYNC 2009", IEEE Computer Society, (2009), ISSN: 1522-8681; S. 127 - 136.

    Zusätzliche Informationen

  44. Quelle: Fakultät für Informatik

    G. Fuchs, M Függer, A. Steininger, F. Zangerl:
    "Analysis of Constraints in a Fault-Tolerant Distributed Clock Generation Scheme";
    Vortrag: 3rd International Workshop on Dependable Embedded Systems, Leeds; 01.10.2006; in: "WDES 2006 3rd Workshop on Dependable Embedded Systems", (2006), S. 22 - 27.

    Zusätzliche Informationen

  45. Quelle: Fakultät für Informatik

    G. Fuchs, J. Grahsl, U. Schmid, A. Steininger, G. Kempf:
    "Threshold Modules -- Die Schlüsselelemente zur Verteilten Generierung eines Fehlertoleranten Taktes";
    Vortrag: Austrochip, Wien; 11.10.2006; in: "Austrochip Mikroelektroniktagung", (2006), S. 149 - 156.

    Zusätzliche Informationen

  46. Quelle: Fakultät für Informatik

    M Függer, G. Fuchs, A. Steininger:
    "On the Stability and Robustness of Non-Synchronous Circuits with Timing Loops";
    Vortrag: WSDN 2009 (Workshop on Dependable and Secure Nanocomputing, Estoril, Lisbon, Portugal; 29.06.2009 - 30.06.2009; in: "WSDN 2009", Springer, (2009), ISBN: 9781424444212; S. 45 - 50.

    Zusätzliche Informationen

  47. Quelle: Fakultät für Informatik

    M Függer, T. Handl, A. Steininger, J. Widder, C. Tögel:
    "An Efficient Test for a Transition Signalling based Up-/Down-Counter";
    Poster: Austrochip, Wien; 11.10.2006; in: "Austrochip Mikroelektroniktagung", (2006), S. 55 - 62.

    Zusätzliche Informationen

  48. Quelle: Fakultät für Informatik

    R. Gallo, M. Delvai, W. Elmenreich, A. Steininger:
    "Revision and Verification of an Enhanced UART";
    Vortrag: IEEE International Workshop on Factory Communication Systems, Vienna, Austria; 22.09.2004 - 24.09.2004; in: "Proceedings of the 2004 IEEE International Workshop on Factory Communication Systems", IEEE, (2004), ISBN: 0-7803-8734-1; S. 315 - 318.

    Zusätzliche Informationen

  49. Quelle: Fakultät für Informatik

    J. Grahsl, T. Handl, A. Steininger:
    "Exploring the Usefulness of the Gate-level Stuck-at Fault Model for Muller C-Elements";
    Poster: 20. GI/ITG/GMM Workshop Testmethoden und Vuverlässigkeit von Schaltungen und Systemen, Wien; 24.02.2008 - 26.02.2008; in: "20. Workshop Testmethoden und Vuverlässigkeit von Schaltungen und Systemen", (2008), S. 165 - 169.

    Zusätzliche Informationen

  50. Quelle: Fakultät für Informatik

    J. Grahsl, T. Handl, A. Steininger, G. Kempf:
    "SAFE - A Scalable Environment for Automated Transistor Level Fault Effect Analysis";
    Vortrag: Austrochip, Graz; 11.10.2007; in: "Austrochip - Workshop on Microelectronics", (2007), S. 91 - 98.

    Zusätzliche Informationen

  51. Quelle: Fakultät für Informatik

    T. Handl, A. Steininger:
    "Implementation of an FPGA-Based Hardware Fault Injector";
    Poster: Junior Scientist Conference, Wien; 19.04.2006 - 21.04.2006; in: "Junior Scientist Conference 2006", (2006), S. 23 - 24.

    Zusätzliche Informationen

  52. Quelle: Fakultät für Informatik

    T. Handl, A. Steininger, G. Kempf:
    "Adopting the Scan Approach for a Fault Tolerant Asynchronous Clock Generation Circuit";
    Vortrag: International Design and Test Workshop (IDT), Kairo; 16.12.2007 - 18.12.2007; in: "Proceedings IDT'07 - The Second International Design and Test Workshop", (2007), S. 115 - 119.

    Zusätzliche Informationen

  53. Quelle: Fakultät für Informatik

    T. Handl, A. Steininger, G. Kempf:
    "An Efficient Test Strategy for a Fault-Tolerant Clock Generator for Systems-on-Chip";
    Vortrag: 19. ITG/GMM Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Errlangen; 11.03.2007 - 13.03.2007; in: "19. Workshop - Testmethoden und Zuverlässigkeit von Schaltungen und Systemen", (2007), S. 66 - 70.

    Zusätzliche Informationen

  54. Quelle: Fakultät für Informatik

    M. Hofbauer, K. Schweiger, H. Zimmermann, U. Giesen, F. Langner, U. Schmid, A. Steininger:
    "Supply Voltage Dependent On-chip Single Event Transient Pulse Shape Measurements in 90 nm Bulk CMOS under Alpha Irradiation";
    Poster: 21st European Conference on Radiation and its Effects on Components and Systems (RADECS'12), Biarritz, FRANCE; 24.09.2012 - 28.09.2012; in: "Proceedings 21st European Conference on Radiation and its Effects on Components and Systems (RADECS'12)", (2012).

    Zusätzliche Informationen

  55. Quelle: Fakultät für Informatik

    M. Horauer, E. Armengaud, A. Steininger:
    "Concepts and Tools for the Test of the Communication Sub-System of Time-Triggered Distributed Embedded Systems";
    Vortrag: International Conference on Design Engineering Technical Conferences & Computers and Information in Engineering (ASME), Las Vegas; 04.09.2007 - 07.09.2007; in: "ASME 2007 International Conference on Design Engineering Technical Conferences & Computers and Information in Engineering", (2007).

    Zusätzliche Informationen

  56. Quelle: Fakultät für Informatik

    M. Horauer, F Rothensteiner, M Zauner, E. Armengaud, A. Steininger, H. Friedl, R. Pallierer:
    "An FPGA based SoC Design for Testing Embedded Automotive Communication Systems employing the FlexRay Protocol";
    Poster: Austrochip, Wien; 2004; in: "Austrochip 2004", TU-Wien, (2004), S. 119 - 123.

    Zusätzliche Informationen

  57. Quelle: Fakultät für Informatik

    F. Huemer, J. Lechner, A. Steininger:
    "A New Coding Scheme for Fault Tolerant 4-Phase Delay-Insensitive Codes";
    Poster: 2016 IEEE International Conference on Computer Design, Phoenix, Arizona, USA; 03.10.2016 - 05.10.2016; in: "Proceedings 2016 IEEE International Conference on Computer Design", (2016), ISBN: 978-1-5090-5142-7; S. 392 - 395.

    Zusätzliche Informationen

  58. Quelle: Fakultät für Informatik

    F. Huemer, R. Najvirt, A. Steininger:
    "Identification and Confinement of Fault Sensitivity Windows in QDI Logic";
    Vortrag: 28th Austrian Workshop on Microelectronics, Wien; 07.10.2020; in: "Proceedings 28th Austrian Workshop on Microelectronics", (2020), S. 1 - 8.

  59. Quelle: Fakultät für Informatik

    F. Huemer, M. Schütz, A. Steininger:
    "Revisiting Sorting Network based Completion Detection for 4 Phase Delay Insensitive Codes";
    Vortrag: Austrochip Workshop on Microelectronics, Wien; 28.09.2015; in: "Austrochip Workshop on Microelectronics", (2015), 6 S.

    Zusätzliche Informationen

  60. Quelle: Fakultät für Informatik

    F. Huemer, A. Steininger:
    "Sorting Network based Full Adders for QDI Circuits";
    Vortrag: 28th Austrian Workshop on Microelectronics, Wien; 07.10.2020; in: "Proceedings 28th Austrian Workshop on Microelectronics", (2020), S. 1 - 8.

  61. Quelle: Fakultät für Informatik

    F. Huemer, A. Steininger:
    "Timing Domain Crossing using Muller Pipelines";
    Vortrag: 26th IEEE International Symposium on Asynchronous Circuits and Systems, Snowbird, Utah, USA; 17.05.2020 - 20.05.2020; in: "Proceedings 26th IEEE International Symposium on Asynchronous Circuits and Systems", Ieee Cs, (2020), ISSN: 2643-1483; S. 1 - 10.

  62. Quelle: Fakultät für Informatik

    M. Jeitler, J. Lechner, A. Steininger:
    "Enhancing Pipelined Processor Architectures with Fast Autonomous Recovery of Transient Faults";
    Poster: DDECS 2010 (Design and Diagnostics of Electronic Circuits and Systems), Vienna, Austria; 14.04.2010 - 16.04.2010; in: "13th IEEE International Symposium On Design And Diagnostics Of Electronic Cicruits And Systems", IEEE Computer Society, (2010), ISBN: 9781424466108; S. 233 - 236.

    Zusätzliche Informationen

  63. Quelle: Fakultät für Informatik

    T. Kottke, A. Steininger:
    "A Dual Core Architecture with Error Containment";
    Vortrag: East-West Design & Test International Workshop(EWDTW´04), Yalta-Alushta, Crimea, Ukraine; 23.09.2004 - 26.09.2004; in: "East-West Design & Test International Workshop", (2004), ISBN: 966-659-088-3; S. 102 - 108.

    Zusätzliche Informationen

  64. Quelle: Fakultät für Informatik

    T. Kottke, A. Steininger:
    "A Fail-Silent Reconfigurable Superscalar Processor";
    Vortrag: 13th Pacific Rim International Symposium on Dependable Computing (PRDC 07), Melbourne; 17.12.2007 - 19.12.2007; in: "13th Pacific Rim International Symposium on Dependable Computing (PRDC'07), Melbourne", (2007), S. 232 - 239.

    Zusätzliche Informationen

  65. Quelle: Fakultät für Informatik

    T. Kottke, A. Steininger:
    "A Generic Dual-Core Architecture";
    Vortrag: 7th IEEE International Workshop on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2004), Stara Lesna, Slovakia; 18.04.2004 - 21.04.2004; in: "7th IEEE International Workshop on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2004)", (2004), ISBN: 80-969117-9-1; S. 159 - 166.

    Zusätzliche Informationen

  66. Quelle: Fakultät für Informatik

    T. Kottke, A. Steininger:
    "A Reconfigurable Generic Dual-Core Architecture";
    Vortrag: IEEE International Conference on Dependable Systems and Networks, Philadelphia; 25.06.2006 - 28.06.2006; in: "Proceedings of the 2006 International Conference on Dependable Systems and Networks (DSN)", (2006), S. 45 - 54.

    Zusätzliche Informationen

  67. Quelle: Fakultät für Informatik

    T. Kottke, A. Steininger:
    "Designoptimierung eines Prozessors mit Eigenfehlererkennung";
    Vortrag: 17. ITG/GI/GMM Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen;, Inssbruck; 27.02.2005 - 01.03.2005; in: "16. ITG/GI/GMM Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen;", (2005), S. 55 - 59.

    Zusätzliche Informationen

  68. Quelle: Fakultät für Informatik

    T. Kottke, A. Steininger:
    "Vergleich zweier zwischen Sicherheit und Performanz rekonfigurierbarer Prozessorsysteme";
    Poster: 19. ITG/GMM Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Errlangen; 11.03.2007 - 13.03.2007; in: "19. Workshop - Testmethoden und Zuverlässigkeit von Schaltungen und Systemen", (2007).

  69. Quelle: Fakultät für Informatik

    J. Lechner, A. Steininger, F. Huemer:
    "Methods for Analysing and Improving the Fault Resilience of Delay-Insensitive Codes";
    Vortrag: 33rd IEEE International Conference on Computer Design, New York City, USA; 19.10.2015 - 21.10.2015; in: "33rd IEEE International Conference on Computer Design", (2015), 8 S.

    Zusätzliche Informationen

  70. Quelle: Fakultät für Informatik

    J. Maier, A. Steininger:
    "Efficient Metastability Characterization for Schmitt-Triggers";
    Vortrag: 25th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2019), Hirosaki, Japan; 12.05.2019 - 15.05.2019; in: "2019 25th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)", (2019), ISBN: 978-1-5386-4747-9; S. 124 - 133.

    Zusätzliche Informationen

  71. Quelle: Fakultät für Informatik

    J. Maier, A. Steininger:
    "Online Test Vector Insertion: A Concurrent Built-In Self-Testing (CBIST) Approach for Asynchronous Logic";
    Vortrag: 17th Symposium on Design and Diagnosis of Electronic Circuits and Systems (DDECS 2014), Warschau, Polen; 23.04.2014 - 25.04.2014; in: "Design and Diagnostics of Electronic Circuits Systems (DDECS), 2014 IEEE 17th International Symposium on", (2014), 6 S.

    Zusätzliche Informationen

  72. Quelle: Fakultät für Informatik

    P. Milbredt, M. Glass, M. Lukasiewycz, A. Steininger, J. Teich:
    "Designing FlexRay-based Automotive Architectures: A Holistic OEM Approach";
    Vortrag: Design, Automation & Test in Europe Conference & Exhibition (DATE 2012), Dresden, Germany; 12.03.2012 - 16.03.2012; in: "Design, Automation & Test in Europe Conference & Exhibition (DATE 2012) Proceedings", EDAA, (2012), ISBN: 978-3-9810801-8-6; S. 276 - 279.

    Zusätzliche Informationen

  73. Quelle: Fakultät für Informatik

    P. Milbredt, M. Horauer, A. Steininger:
    "An Investigation of the Clique Problem in Flex Ray";
    Vortrag: SIES´2008 Third international symposium on industrial embedded systems, Montpellier - La Grande Motte, France; 11.08.2008 - 13.08.2008; in: "International Symposium on Industrial Embedded Systems, 2008.", (2008), ISBN: 978-1-4244-1995-1; S. 200 - 207.

    Zusätzliche Informationen

  74. Quelle: Fakultät für Informatik

    P. Milbredt, A. Steininger, M. Horauer:
    "Automated Testing of FlexRay Clusters for System Inconsistencies in Automotive Networks";
    Vortrag: IEEE International Workshop on Electronic Design, Test and Applications, Hong-Kong; 23.05.2008 - 25.05.2008; in: "4th IEEE International Symposium on Electronic Design, Test and Applications, 2008. DELTA 2008.", (2008), ISBN: 978-0-7695-3110-6; S. 533 - 538.

    Zusätzliche Informationen

  75. Quelle: Fakultät für Informatik

    R. Najvirt, S. Naqvi, A. Steininger:
    "Classifying Virtual Channel Access Control Schemes for Asynchronous NoCs";
    Vortrag: 19th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2013), Santa Monica, CA; 19.05.2013 - 22.05.2013; in: "Asynchronous Circuits and Systems (ASYNC), 2013 IEEE 19th International Symposium on", (2013), ISSN: 1522-8681; 9 S.

    Zusätzliche Informationen

  76. Quelle: Fakultät für Informatik

    R. Najvirt, T. Polzer, F. Beck, A. Steininger:
    "Containment of Metastable Voltages in FPGAs";
    Vortrag: 18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Belgrad; 22.04.2015 - 24.04.2015; in: "18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems", (2015), 6 S.

    Zusätzliche Informationen

  77. Quelle: Fakultät für Informatik

    R. Najvirt, T. Polzer, A. Steininger:
    "Measuring Metastability with Free-Running Clocks";
    Vortrag: 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2017), San Diego, California; 21.05.2017 - 24.05.2017; in: "Proceedings 2017 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2017)", IEEE Computer Society, 10662 Los Vaqueros Circle (2017), ISBN: 978-1-5386-2749-5; Paper-Nr. 37, 7 S.

    Zusätzliche Informationen

  78. Quelle: Fakultät für Informatik

    R. Najvirt, A. Steininger:
    "A Pausible Clock with Crystal Oscillator Accuracy";
    Vortrag: 22nd European Conference on Circuit Theory and Design, Trondheium, Norwegen; 24.08.2015 - 26.08.2015; in: "22nd European Conference on Circuit Theory and Design", (2015), Paper-Nr. 67, 4 S.

    Zusätzliche Informationen

  79. Quelle: Fakultät für Informatik

    R. Najvirt, A. Steininger:
    "A Versatile and Reliable Glitch Filter for Clocks";
    Vortrag: 25th International Workshop on Power and Timing Modeling, Optimization and Simulation, Salvador, Brasilien; 01.09.2015 - 04.09.2015; in: "25th International Workshop on Power and Timing Modeling, Optimization and Simulation", (2015), 8 S.

    Zusätzliche Informationen

  80. Quelle: Fakultät für Informatik

    R. Najvirt, A. Steininger:
    "Equivalence of Clock Gating and Synchronization with Applicability to GALS Communication";
    Vortrag: 24th International Workshop on Power and Timing Modeling, Optimization and Simulation, Isles Balears, Spain; 29.09.2014 - 01.10.2014; in: "Proceedings of the 24th International Workshop on Power and Timing Modeling, Optimization and Simulation", IEEE, (2014), ISBN: 978-1-4799-5412-4; Paper-Nr. 29, 8 S.

    Zusätzliche Informationen

  81. Quelle: Fakultät für Informatik

    R. Najvirt, A. Steininger:
    "How to Synchronize a Pausible Clock to a Reference";
    Vortrag: 21st IEEE International Symposium on Asynchronous Circuits and Systems, Mountain View, CA; 04.05.2015 - 06.05.2015; in: "21st IEEE International Symposium on Asynchronous Circuits and Systems", (2015), 8 S.

    Zusätzliche Informationen

  82. Quelle: Fakultät für Informatik

    R. Najvirt, V. S. Veeravalli, A. Steininger:
    "Particle Strikes in C-Gates: Relevance of SET Shapes";
    Vortrag: 2nd Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale, Avignon; 30.05.2013 - 31.05.2013; in: "Proceedings of the MEDIAN Workshop 2013", (2013), 4 S.

    Zusätzliche Informationen

  83. Quelle: Fakultät für Informatik

    S. Naqvi, J. Lechner, A. Steininger:
    "Protection of Muller-Pipelines from Transient Faults";
    Vortrag: 15th International Symposium & Exhibit on Quality Electronic Design, Santa Clara, USA; 10.03.2014 - 12.03.2014; in: "Proceedings 15th International Symposium & Exhibit on Quality Electronic Design", (2014), ISBN: 978-1-4799-3946-6; 9 S.

    Zusätzliche Informationen

  84. Quelle: Fakultät für Informatik

    S. Naqvi, R. Najvirt, A. Steininger:
    "A Multi-Credit Flow Control Scheme for Asynchronous NoCs";
    Vortrag: 16th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, Karoly Vary, Czech Republic; 08.04.2013 - 10.04.2013; in: "Proc. 16th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems", (2013), 6 S.

    Zusätzliche Informationen

  85. Quelle: Fakultät für Informatik

    S. Naqvi, A. Steininger:
    "A Tree Arbiter Cell for High Speed Resource Sharing in Asynchronous Environments";
    Vortrag: Design Automation &Test in Europe Conference and Exhibition 2014 (DATE 14), Dresden, Deutschland; 24.03.2014 - 28.03.2014; in: "Proceedings Design Automation &Test in Europe", (2014), ISBN: 978-3-9815370-2-4; 6 S.

    Zusätzliche Informationen

  86. Quelle: Fakultät für Informatik

    S. Naqvi, A. Steininger, J. Lechner:
    "An SET Tolerant Tree Arbiter Cell";
    Vortrag: 19th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2013), Santa Monica, CA; 19.05.2013 - 22.05.2013; in: "Asynchronous Circuits and Systems (ASYNC), 2013 IEEE 19th International Symposium on", (2013), ISSN: 1522-8681; 9 S.

    Zusätzliche Informationen

  87. Quelle: Fakultät für Informatik

    S. Naqvi, V. S. Veeravalli, A. Steininger:
    "Protecting an Asynchronous NoC against Transient Channel Faults";
    Vortrag: DSD 2012 (Euromicro Conference on Digital System Design), Cesme, Izmir, Turkey; 05.09.2012 - 08.09.2012; in: "Proc. of 15th Euromicro Conference on Digital System Design", (2012), 8 S.

    Zusätzliche Informationen

  88. Quelle: Fakultät für Informatik

    R. Pallierer, M. Horauer, A. Steininger:
    "Monitoring and Fault Injection of X-by-Wire Communication Networks";
    Vortrag: Entwicklerforum Design & Elektronik: Drahtlose und drahtgebundene Netzwerke, Wien; 03.02.2004; in: "Entwicklerforum Design & Elektronik: Drahtlose und drahtgebundene Netzwerke", (2004).

    Zusätzliche Informationen

  89. Quelle: Fakultät für Informatik

    A. Paverd, M. Völp, F. Brasser, M. Schunter, N. Asokan, A. Sadeghi, P. Esteves-Verissimo, A. Steininger, T. Holz:
    "Sustainable Security & Safety: Challenges andOpportunities";
    Vortrag: 4th International Workshop on Security and Dependability of Critical Embedded Real-Time Systems (CERTS 2019), Stuttgart; 09.07.2019; in: "Proceedings 4th International Workshop on Security and Dependability of Critical Embedded Real-Time Systems (CERTS 2019)", (2019), ISBN: 978-3-95977-119-1; 13 S.

    Zusätzliche Informationen

  90. Quelle: Fakultät für Informatik

    T. Polzer, T. Handl, A. Steininger:
    "A Metastability-Free Multi-synchronous Communication Scheme for SoCs";
    Vortrag: SSS 2009 (Symposium on Stabilization, Safety, and Security of Distributed Systems), Lyon, France; 03.11.2009 - 06.11.2009; in: "Stabilization, Safety, and Security of Distribiuted Systems", Springer, 5873/2009 (2009), ISBN: 978-3642051173; S. 578 - 592.

    Zusätzliche Informationen

  91. Quelle: Fakultät für Informatik

    T. Polzer, F. Huemer, A. Steininger:
    "A Programmable Delay Line for Metastability Characterization in FPGAs";
    Vortrag: 24th Austrian Workshop on Microelectronics (Austrochip), Villach; 19.10.2016; in: "Proceedings 24th Austrian Workshop on Microelectronics", (2016), 6 S.

    Zusätzliche Informationen

  92. Quelle: Fakultät für Informatik

    T. Polzer, F. Huemer, A. Steininger:
    "Measuring Metastability Using a Time-to-Digital Converter";
    Vortrag: 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Dresden; 19.04.2017 - 21.04.2017; in: "Proceedings 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems", IEEE Service Center, (2017), ISBN: 978-1-5386-0471-7; Paper-Nr. 55, 6 S.

    Zusätzliche Informationen

  93. Quelle: Fakultät für Informatik

    T. Polzer, A. Steininger:
    "A General Approach for Comparing Metastable Behavior of Digital CMOS Gates";
    Vortrag: 19th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Kosice, Slovakia; 20.04.2016 - 22.04.2016; in: "Proc 19th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems", (2016), ISBN: 978-1-5090-2467-4; 6 S.

    Zusätzliche Informationen

  94. Quelle: Fakultät für Informatik

    T. Polzer, A. Steininger:
    "An Approach for Efficient Metastability Characterization of FPGAs through the Designer";
    Vortrag: 19th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2013), Santa Monica, CA; 19.05.2013 - 22.05.2013; in: "19th IEEE International Symposium on Asynchronous Circuits and Systems", (2013), ISSN: 1522-8681; 9 S.

    Zusätzliche Informationen

  95. Quelle: Fakultät für Informatik

    T. Polzer, A. Steininger:
    "Digital Late-Transition Metastability Simulation Model";
    Vortrag: 16th Euromicro Conference on Digital System Design (DSD 2013), Santander; 04.09.2013 - 06.09.2013; in: "Proceedings of the 16th Euromicro Conference on Digital System Design", (2013), 8 S.

    Zusätzliche Informationen

  96. Quelle: Fakultät für Informatik

    T. Polzer, A. Steininger:
    "Enhanced Metastability Characterization based on AC Analysis";
    Vortrag: 18th Euromicro Conference on Digital System Design, Funchal, Portugal; 26.08.2015 - 28.08.2015; in: "18th Euromicro Conference on Digital System Design", (2015), 9 S.

    Zusätzliche Informationen

  97. Quelle: Fakultät für Informatik

    T. Polzer, A. Steininger:
    "Measuring the Distribution of Metastable Upsets over Time";
    Vortrag: 18th Euromicro Conference on Digital System Design, Funchal, Portugal; 26.08.2015 - 28.08.2015; in: "Measuring the Distribution of Metastable Upsets over Time", (2015), 8 S.

    Zusätzliche Informationen

  98. Quelle: Fakultät für Informatik

    T. Polzer, A. Steininger:
    "Metastability Characterization for Muller C-Elements";
    Vortrag: 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS2013), Karlsruhe; 09.09.2013 - 11.09.2013; in: "23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS2013)", (2013), 8 S.

    Zusätzliche Informationen

  99. Quelle: Fakultät für Informatik

    T. Polzer, A. Steininger:
    "SET Propagation in Micropipelines";
    Vortrag: 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS2013), Karlsruhe; 09.09.2013 - 11.09.2013; in: "23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS2013)", (2013), 8 S.

    Zusätzliche Informationen

  100. Quelle: Fakultät für Informatik

    T. Polzer, A. Steininger, J. Lechner:
    "Muller C-Element Metastability Containment";
    Vortrag: International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) 2012, Newcastle upon Tyne; 04.09.2012 - 06.09.2012; in: "Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation", Lecture Notes in Computer Science, 7606 (2013), ISBN: 978-3-642-36156-2; S. 103 - 112.

    Zusätzliche Informationen

  101. Quelle: Fakultät für Informatik

    B. Rahbaran, M Függer, A. Steininger:
    "Embedded Real-Time-Tracer --An Approach with IDE";
    Vortrag: Workshop on Intelligent Solutions in Embedded Systems, Austria, Graz; 25.06.2004; in: "Proceedings of the Second Workshop on Intelligent Solutions in Embedded Systems", (2004), ISBN: 3-902463-00-7; S. 25 - 35.

    Zusätzliche Informationen

  102. Quelle: Fakultät für Informatik

    B. Rahbaran, A. Steininger:
    "Real-time Fault Injection with Signal-Flip model by FIDYCO";
    Vortrag: IEEE International Conference on Dependable Systems and Networks, Florence, Italy; 28.06.2004 - 01.07.2004; in: "DSN 2004 Supplement", IEEE Computer Society, Supplemental (2004), S. 70 - 71.

  103. Quelle: Fakultät für Informatik

    B. Rahbaran, A. Steininger, T. Handl:
    "Built-in Fault Injection in Hardware-- The FIDYCO Example";
    Vortrag: IEEE International Workshop on Electronic Design, Test and Applications, Perth, Australia; 28.01.2004 - 30.01.2004; in: "Second IEEE International Workshop on Electronic Design, Test and Applications", B. Rahbaran, A. Steininger (Hrg.); IEEE Computer Society Press, Delta 2004, Perth Australia (2004), ISBN: 0-7695-2081-2; S. 327 - 332.

    Zusätzliche Informationen

  104. Quelle: Fakultät für Informatik

    T. Reinbacher, J. Brauer, M. Horauer, A. Steininger, S. Kowalewski:
    "Past time LTL runtime verification for microcontroller binary code";
    Vortrag: FMICS 2011, Trento; 29.08.2011 - 30.08.2011; in: "Formal Methods for Industrial Critical Systems", Springer Berlin / Heidelberg, (2011), ISBN: 978-3-642-24430-8; S. 37 - 51.

    Zusätzliche Informationen

  105. Quelle: Fakultät für Informatik

    T. Reinbacher, J. Brauer, M. Horauer, A. Steininger, S. Kowalewski:
    "Test-Case Generation for Embedded Binary Code Using Abstract Interpretation";
    Vortrag: MEMICS 2010 (Mathematical and Engineering Methods in Computer Science), Mikulov, Czech Republic; 22.10.2010 - 24.10.2010; in: "MEMICS proceedings", (2010), S. 151 - 158.

    Zusätzliche Informationen

  106. Quelle: Fakultät für Informatik

    T. Reinbacher, J. Brauer, D. Schachinger, A. Steininger, S. Kowalewski:
    "Automated test-trace inspection for microcontroller binary code";
    Vortrag: 2nd International Conference on Runtime Verification (RV 2011), San Francisco; 27.09.2011 - 30.09.2011; in: "Runtime Verification", (2011), S. 239 - 244.

    Zusätzliche Informationen

  107. Quelle: Fakultät für Informatik

    T. Reinbacher, J. Geist, P. Moosbrugger, M. Horauer, A. Steininger:
    "Parallel Runtime Verification of Temporal Properties for Embedded Software";
    Vortrag: Mechatronics and Embedded Systems and Applications (MESA), 2012 IEEE/ASME International Conference on, Suzhou, China; 08.07.2012 - 10.07.2012; in: "Mechatronics and Embedded Systems and Applications (MESA), 2012 IEEE/ASME International Conference on", (2012), ISBN: 978-1-4673-2347-5; S. 224 - 231.

    Zusätzliche Informationen

  108. Quelle: Fakultät für Informatik

    T. Reinbacher, M. Horauer, A. Steininger:
    "A Runtime Verification Unit for Microcontrollers";
    Vortrag: System, Software, SoC and Silicon Debug Conference (S4D), 2012, Vienna, Austria; 19.09.2012 - 20.09.2012; in: "System, Software, SoC and Silicon Debug Conference (S4D), 2012", (2012), ISSN: 2114-3684; S. 1 - 6.

    Zusätzliche Informationen

  109. Quelle: Fakultät für Informatik

    T. Reinbacher, A. Steininger, T. Müller, M. Horauer, J. Brauer, S. Kowalewski:
    "Hardware support for efficient testing of embedded software";
    Vortrag: The 7th ASME/IEEE International Conference on Mechatronic and Embedded Systems and Applications, Washington; 29.08.2011 - 31.08.2011; in: "International Conference on Mechatronic and Embedded Systems and Applications", ASME, (2011).

    Zusätzliche Informationen

  110. Quelle: Fakultät für Informatik

    S. Resch, A. Steininger, C. Scherrer:
    "Software Composability and Mixed Criticality for Triple Modular Redundant Architectures";
    Vortrag: SASSUR Workshop 2013, Toulouse; 24.09.2013; in: "Proceedings of the 2013 SASSUR Workshop", (2013), 4 S.

    Zusätzliche Informationen

  111. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    C. Scherrer, A. Steininger et al.:
    "Automated Measurement of Computer Fault-Tolerance by Reproducible Fault Injection Experiments";
    Vortrag: Int. Symp. On Development in Digital Measuring an Instrumentation, Naples, Italy; 01.09.1998; in: "Proc. of the 10th Int. Symp. On Development in Digital Measuring an Instrumentation", (1998), S. 557 - 562.

  112. Quelle: Fakultät für Informatik

    C. Scherrer, A. Steininger:
    "How does Resource Utilization Affect Fault Tolerance?";
    Vortrag: International Symp. on Defect and Fault Tolerance in VLSI-Systems, Mt. Fuji, Yamanashi, Japan; 02.10.2000 - 06.10.2000; in: "PROCEEDINGS", (2000), S. 418 - 425.

  113. Quelle: Fakultät für Informatik

    C. Scherrer, A. Steininger:
    "Periodic Node Shutdown in a Fail-Silent Architecture - Risk or Rescue?";
    Poster: World Multiconference on Systemics, cybernetics and Informatics, Orlando, Florida, USA; 14.07.2000 - 18.07.2000; in: "PROCEEDINGS", (2000), S. 205 - 210.

  114. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    C. Scherrer, A. Steininger:
    "Periodic Node Shutdown in a Fail-Silent Architecture - Risk or Rescue?";
    Vortrag: World Multiconference on Systemics, Cybernetics and Informatics (SCI), Orlando, FL, USA; 23.07.2000 - 26.07.2000; in: "World Multiconference on Systemics, Cybernetics and Informatics", (2000), S. 312 - 317.

    Zusätzliche Informationen

  115. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    C. Scherrer, A. Steininger et al.:
    "VIRUS - ein Instrumentarium für Reproduzierbare Pin-Level Fehlerinjektion";
    Vortrag: ITG Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Herrenberg, Deutschland; 01.03.1998; in: "Tagungsband ITG Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen", (1998), S. ???.

  116. Quelle: Fakultät für Informatik

    U. Schmid, A. Steininger, H. Veith:
    "Towards a Systematic Design of Fault-Tolerant Asynchronous Circuits";
    Poster: GMM/GI/ITG-Fachtagung Zuverlässigkeit und Entwurf, München; 26.03.2007 - 28.03.2007; in: "Fachtagung Zuverlässigkeit und Entwurf", VDE Verlag, (2007), ISBN: 978-3-8007-3023-0; S. 173 - 174.

  117. Quelle: Fakultät für Informatik

    M. Schütz, F. Huemer, A. Steininger:
    "A Practical Comparison of 2-Phase Delay Insensitve Communication Protocols";
    Vortrag: Austrochip Workshop on Microelectronics, Wien; 28.09.2015; in: "Austrochip Workshop on Microelectronics", (2015), 6 S.

    Zusätzliche Informationen

  118. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger et al.:
    "A Prototype Implementation of a TTP/C Controller";
    Vortrag: Proc. SAE World Congress 1997, Detroit; 01.02.1997; in: "Proc. SAE World Congress 1997", (1997), S. ???.

  119. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger:
    "Built-in Self-Test von VLSI-Bausteinen";
    Vortrag: Informationstagung Mikroelektronik (ME), Wien; 01.10.1997; in: "Mikroelektronik Tagung ME97", Schriftenreihe des ÖVE Nr. 14/1997, (1997), S. 181 - 186.

  120. Quelle: Fakultät für Informatik

    A. Steininger:
    "Embedded Systems im Auto - Ein Vorbild für die Bahn?";
    Vortrag: Tagung, TU-Wien, Prechtlsaal; 11.03.2004; in: "Intelligenz im Schienenverkehr: Sicherheitsstandarts und effiziente Kapatzitätsnutzung", (2004), S. #.

    Zusätzliche Informationen

  121. Quelle: Fakultät für Informatik

    A. Steininger:
    "Error Containment in the Presence of Metastability";
    Vortrag: Dagstuhl Seminar 08371 : Fault-Tolerant Distributed Algorithms on VLSI Chips, Dagstuhl, Germany (eingeladen); 07.09.2009 - 10.09.2009; in: "Fault-Tolerant Distributed Algorithms on VLSI Chips", Leibniz Zentrum Informatik, 08371 (2009), ISSN: 1862-4405; S. ?.

    Zusätzliche Informationen

  122. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger:
    "Evaluation of Computer Fault-Tolerance by Fault Injection - A Measurement Problem ?";
    Poster: Int. Symp. On Development in Digital Measuring an Instrumentation, Naples, Italy; 01.09.1998; in: "Proc. of the 10th Int. Symp. On Development in Digital Measuring an Instrumentation (ISDDMI)", (1998), S. 766 - 771.

  123. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger:
    "How Reproducible shoult Fault-Injection be ?";
    Poster: International Symposium on Fault Tolerant Computing (FTCS), München, Deutschland; 01.06.1998; in: "Digest of FastAbstracts 28th IInternational Symposium on Fault Tolerant Computing (FTCS-28)", (1998), S. 80 - 81.

  124. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger:
    "Selbstteststrategie für einen Echtzeit-Kommunikationscontroller";
    Vortrag: ITG Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Herrenberg, Deutschland; 01.03.1998; in: "Tagungsband ITG Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen", (1998), S. ???.

  125. Quelle: Fakultät für Informatik

    A. Steininger, M Függer, U. Schmid, G. Fuchs:
    "Fault-Tolerant Algorithms on SoCs - A case study";
    Vortrag: IEEE International Conference on Dependable Systems and Networks, Philadelphia; 25.06.2006 - 28.06.2006; in: "Supplement Proceedings of the 2006 International Conference on Dependable Systems and Networks (DSN)", (2006), S. 190 - 191.

    Zusätzliche Informationen

  126. Quelle: Fakultät für Informatik

    A. Steininger, T. Handl, G. Fuchs, F. Zangerl:
    "Testing the Hardware Implementation of a Distributed Clock Generation Algorithm for SoCs";
    Vortrag: East-West Design & Test International Workshop (EWDTW'06), Sochi (eingeladen); 15.09.2006 - 19.09.2006; in: "East-West Design & Test International Workshop", (2006), S. 59 - 64.

    Zusätzliche Informationen

  127. Quelle: Fakultät für Informatik

    A. Steininger, T. Kottke:
    "A Fail-Silent Memory for Automotive Applications";
    Vortrag: European Test Symposium, Ajaccio,Corsica,France; 23.05.2004 - 26.05.2004; in: "9th European Test Symposium", (2004), S. 253 - 258.

    Zusätzliche Informationen

  128. Quelle: Fakultät für Informatik

    A. Steininger, T. Kottke:
    "Concurrent Checking eines Adressdecoders";
    Vortrag: 16. ITG/GI/GMM Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Dresden, Germany; 29.02.2004 - 02.03.2004; in: "GMM/ITG Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen", (2004), S. 25 - 29.

    Zusätzliche Informationen

  129. Quelle: Fakultät für Informatik

    A. Steininger, T. Kottke:
    "Ein dynamisch rekonfigurierbarer superskalarer Prozessor mit den Modi Sicherheit und Performanz";
    Vortrag: 18. ITG/GI/GMM Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Titisee; 12.03.2006 - 14.03.2006; in: "18. ITG/GI/GMM Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen", (2006), S. 36 - 40.

    Zusätzliche Informationen

  130. Quelle: Fakultät für Informatik

    A. Steininger, J. Maier, R. Najvirt:
    "The Metastable Behavior of a Schmitt-Trigger";
    Vortrag: 22nd IEEE International Symposium on Asynchronous Circuits and Systems, Porto Alegre -- Brazil; 08.05.2016 - 11.05.2016; in: "2016 22nd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)", IEEE Computer Society Conference Publishing Services (CPS), (2016), ISBN: 978-1-4673-9007-1; S. 57 - 64.

    Zusätzliche Informationen

  131. Quelle: Fakultät für Informatik

    A. Steininger, R. Najvirt, J. Maier:
    "Does Cascading Schmitt-Trigger Stages Improve the Metastable Behavior?";
    Vortrag: 2016 Euromicro Conference on Digital System Design (DSD), Limassol, Portugal; 31.08.2016 - 02.09.2016; in: "2016 Euromicro Conference on Digital System Design (DSD)", IEEE, (2016), ISBN: 978-1-5090-2817-7; S. 372 - 379.

    Zusätzliche Informationen

  132. Quelle: Fakultät für Informatik

    A. Steininger, B. Rahbaran, T. Handl:
    "Built-in Fault Injectors - The Logical Continuation of BIST?";
    Vortrag: Workshop on Intelligent Solutions in Embedded Systems (WISES'03), Wien; 27.06.2003; in: "Proceeding of the First Workshop on Intelligent Solutions in Embedded Systems", (2003), S. 187 - 196.

  133. Quelle: Fakultät für Informatik

    A. Steininger, C. Scherrer:
    "How To Tune the MTTF of a Fault-Tolerant System";
    Vortrag: International Symp. on Defect and Fault Tolerance in VLSI-Systems, San Francisco, California, USA; 02.10.2001 - 06.10.2001; in: "PROCEEDINGS", (2001), S. 251 - 256.

  134. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger, C. Scherrer:
    "On Finding an Optimal Combination of Error Detection Mechanisms Based on Results of Fault Injection Experiments";
    Vortrag: International Symposium on Fault Tolerant Computing (FTCS), Seattle, Washington; 18.06.1997; in: "Proc. of the 27th Annual Int. Symp. On Fault Tolerant Computing (FTCS-27)", (1997), S. 238 - 247.

  135. Quelle: Fakultät für Elektrotechnik und Informationstechnik

    A. Steininger, C. Scherrer:
    "On the Necessity of On-Line BIST in Safety-Critical Applications - A Case Study";
    Vortrag: International Symposium on Fault Tolerant Computing (FTCS), Madison, WI, USA; 01.06.1999; in: "Proc.. of the 29th Annual International Symposium on Fault Tolerant Computing (FTCS-29)", (1999), S. 208 - 215.

  136. Quelle: Fakultät für Informatik

    A. Steininger, M. Schwendinger:
    "A Systematic Approach to Clock Failure Detection";
    Vortrag: Austrochip Workshop on Microelectronics, Wien; 24.10.2019; in: "2019 Austrochip Workshop on Microelectronics (Austrochip)", (2019), ISBN: 978-1-7281-1953-3; S. 35 - 42.

    Zusätzliche Informationen

  137. Quelle: Fakultät für Informatik

    A. Steininger, V. S. Veeravalli, D. Alexandrescu, E. Costenaro, L. Anghel:
    "Exploring the State Dependent SET Sensitivity of Asynchronous Logic - The Muller-Pipeline Example";
    Vortrag: 2014 32nd IEEE International Conference on Computer Design (ICCD), Seoul, Korea; 19.10.2014 - 22.10.2014; in: "Proceedings of the 2014 32nd IEEE International Conference on Computer Design (ICCD)", IEEE, (2014), ISBN: 978-1-4799-6492-5; Paper-Nr. 69, 7 S.

    Zusätzliche Informationen

  138. Quelle: Fakultät für Informatik

    A. Steininger, J. Vilanek:
    "Using Offline and Online BIST to Improve System Dependability - The TTPC-C Example";
    Vortrag: IEEE INTERNATIONAL CONFERENCE ON COMPUTER Design: VLSI in Computers & Processors, Freiburg, Germany; 16.09.2002 - 18.09.2002; in: "Computer Design: VLSI in Computers & Processors", (2002), S. 277 - 280.

    Zusätzliche Informationen

  139. Quelle: Fakultät für Informatik

    Z. Tabassam, P. Behal, R. Najvirt, A. Steininger:
    "Input/Output-Interlocking for Fault Mitigation in QDI Pipelines";
    Vortrag: 29th Austrian Workshop on Microelectronics, Linz; 14.10.2021; in: "Proceedings 29th Austrian Workshop on Microelectronics", (2021), ISBN: 978-1-6654-3661-8; 4 S.

    Zusätzliche Informationen

  140. Quelle: Fakultät für Informatik

    P Tummeltshammer, A. Steininger:
    "On the Risk of Fault Coupling over the Chip Substrate";
    Vortrag: DSD 2009 (Euromicro Conference on Digital System Design), Patras, Greece; 27.08.2009 - 29.08.2009; in: "12th EUROMICRO CONFERENCE ON DIGITAL SYSTEM DESIGN - Architectures, Methods and Tools - DSD2009", IEEE Computer Society, (2009), ISBN: 9780769537825; S. 325 - 332.

    Zusätzliche Informationen

  141. Quelle: Fakultät für Informatik

    P Tummeltshammer, A. Steininger:
    "On the Role of the Power Supply as an Entry for Common Cause Faults - An Experimental Analysis";
    Vortrag: DDECS 2009 (Design and Diagnostics of Electronic Circuits and Systems), Liberec, Czech Republic; 15.04.2009 - 17.04.2009; in: "2009 IEEE Design and Diagnostics of Electronic Circuits and Systems", IEEE, 00 (2009), ISBN: 9781424433414; S. 152 - 157.

    Zusätzliche Informationen

  142. Quelle: Fakultät für Informatik

    P Tummeltshammer, A. Steininger:
    "Power Supply Induced Common Cause Faults - Experimental Assessment of Potential Countermeasures";
    Vortrag: DSN 2009 (International Conference on Dependable Systems and Networks), Estoril, Portugal; 29.06.2009 - 02.07.2009; in: "DSN 2009 - Full Program", Springer, (2009), ISBN: 9781424444212; S. 449 - 457.

    Zusätzliche Informationen

  143. Quelle: Fakultät für Informatik

    P Tummeltshammer, A. Steininger:
    "Time-Multiplexed Multiple Constant Multiplication";
    Vortrag: Junior Scientist Conference, Wien; 19.04.2006 - 21.04.2006; in: "Junior Scientist Conference 2006", (2006), S. 77 - 78.

    Zusätzliche Informationen

  144. Quelle: Fakultät für Informatik

    V. S. Veeravalli, A. Steininger:
    "Architecture for Monitoring SET Propagation in 16-bit Sklansky Adder";
    Poster: 15th International Symposium & Exhibit on Quality Electronic Design, Santa Clara, USA; 10.03.2014 - 12.03.2014; in: "Proceedings 15th International Symposium & Exhibit on Quality Electronic Design", (2014), ISBN: 978-1-4799-3946-6; 8 S.

    Zusätzliche Informationen

  145. Quelle: Fakultät für Informatik

    V. S. Veeravalli, A. Steininger:
    "Can we trust SET Injection Models?";
    Vortrag: MEDIAN Finale Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale, Tallinn, Estonia; 10.11.2015 - 11.11.2015; in: "MEDIAN Finale Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale", (2015), 6 S.

    Zusätzliche Informationen

  146. Quelle: Fakultät für Informatik

    V. S. Veeravalli, A. Steininger:
    "Design and Physical Implementation of a Target ASIC for SET Experiments";
    Poster: 2016 Euromicro Conference on Digital System Design (DSD), Limassol, Portugal; 31.08.2016 - 02.09.2016; in: "Proc. 2016 Euromicro Conference on Digital System Design (DSD)", IEEE, (2016), ISBN: 978-1-5090-2817-7; S. 694 - 697.

    Zusätzliche Informationen

  147. Quelle: Fakultät für Informatik

    V. S. Veeravalli, A. Steininger:
    "Diagnosis of SET Propagation in Combinational Logic under Dynamic Operation";
    Poster: 2014 IEEE Workshop on Silicon Errors in Logic - System Effects (SELSE 10), Stanford University, USA; 01.04.2014 - 02.04.2014; in: "Proceedings 2014 IEEE Workshop on Silicon Errors in Logic - System Effects (SELSE 10)", (2014), 6 S.

    Zusätzliche Informationen

  148. Quelle: Fakultät für Informatik

    V. S. Veeravalli, A. Steininger:
    "Efficient Radiation-Hardening of a Muller C-Element";
    Vortrag: 2012 Single Event Effects Symposium (SEE 2012), San Diego, USA; 03.04.2012 - 05.04.2012; in: "2012 Single Event Effects Symposium", (2012).

    Zusätzliche Informationen

  149. Quelle: Fakultät für Informatik

    V. S. Veeravalli, A. Steininger:
    "LFSR Implementation Using C-Elements";
    Vortrag: MEMICS 2012, Znjomo, Czechia; 25.10.2012 - 28.10.2012; in: "MEMICS 2012", (2012), S. 73 - 83.

    Zusätzliche Informationen

  150. Quelle: Fakultät für Informatik

    V. S. Veeravalli, A. Steininger:
    "Long Term On-Chip Monitoring of SET Pulsewidths in a Fully Digital ASIC";
    Vortrag: 22nd Austrian Workshop on Microelectronics, Graz; 09.10.2014; in: "Proceedings of the 22nd Austrian Workshop on Micorelectronics", IEEE, (2014), ISBN: 978-1-4799-7243-2; Paper-Nr. 24, 6 S.

    Zusätzliche Informationen