[Back]


Talks and Poster Presentations (with Proceedings-Entry):

A. Kanduri, M. Haghbayan, A. Rahmani, P. Liljeberg, A. Jantsch, H. Tenhunen:
"Dark Silicon Aware Runtime Mapping for Many-core Systems: A Patterning Approach";
Talk: International Conference on Computer Design (ICCD), New York, USA; 2015-10-02 - 2015-10-06; in: "roceedings of the International Conference on Computer Design (ICCD)", (2015), 8 pages.



English abstract:
bstract
-Limitation on power budget in many-core systems
leaves a fraction of on-chip resources inactive, referred to as dark
silicon. In such systems, an efficient run-time application mapping
approach can considerably enhance resource utilization and
mitigate the dark silicon phenomenon. In this paper, we propose
a dark silicon aware runtime application mapping approach that
patterns active cores alongside the inactive cores in order to
evenly distribute power density across the chip. This approach
leverages dark silicon to balance the temperature of active cores
to provide higher power budget and better resource utilization,
within a safe peak operating temperature. In contrast with
exhaustive search based mapping approach, our agile heuristic
approach has a negligible runtime overhead. Our patterning
strategy yields a surplus power budget of up to 17% along with
an improved throughput of up to 21% in comparison with other
state-of-the-art run-time mapping strategies, while the surplus
budget is as high as 40% compared to worst case scenarios.

Keywords:
Dark Silicon; Power Budgeting; Runtime Mappin


"Official" electronic version of the publication (accessed through its Digital Object Identifier - DOI)
http://dx.doi.org/10.1109/ICCD.2015.7357167


Created from the Publication Database of the Vienna University of Technology.