[Zurück]


Zeitschriftenartikel:

D. Xu, Y. Ningmei, H. Huang, S. Pudukotai Dinakarrao, H. Yu:
"Q-Learning based Voltage-swing Tuning and Compensation for 2.5D Memory-Logic Integration";
Ieee Design & Test, PP (2017), 99; 7 S.



Kurzfassung englisch:
In this paper, an I/O management with Q-Learning based Transmitter Swing Adjustment and Receiver Compensation is developed for an energy-efficient 2.5D memory-logic integration. Instead of transmitting signal with fixed large voltage swing, a Q-learning algorithm and receiver signal compensation mechanism are deployed to adaptively adjust the I/O output-voltage swing, so as to leverage the trade-off between the power reduction and bit error rate (BER). Simulation results show that the proposed adaptive 2.5D I/Os (in 65nm CMOS) can achieve an average of 13mW I/O power, 4GHz bandwidth and 3:25pJ=bit energy efficiency for one channel under 10^-6 BER. With the use of Q-learning and further receiver compensation, we can achieve 12.95% and 15.61% power reduction and 14% energy efficiency improvement compared to the use of constant output-voltage swing based I/O communication.

Schlagworte:
Through-silicon interposer (TSI), memory-logic integration, voltage-swing tuning, receiver compensation, Q-learning, low power I/O


"Offizielle" elektronische Version der Publikation (entsprechend ihrem Digital Object Identifier - DOI)
http://dx.doi.org/10.1109/MDAT.2017.2764075


Erstellt aus der Publikationsdatenbank der Technischen Universität Wien.