[Back]


Talks and Poster Presentations (with Proceedings-Entry):

A. Subramaniyan, S. Rehman, M. Shafique, A. Kumar, J. Henkel:
"Soft Error-Aware Architectural Exploration for Designing Reliability Adaptive Cache Hierarchies in Multi-Cores";
Talk: 2017 IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE'17), Lausanne, Switzerland; 2017-03-27 - 2017-03-31; in: "Proceedings of the 2017 Design, Automation & Test in Europe (DATE)", IEEE, (2017), ISSN: 1558-1101; 37 - 42.



English abstract:
Mainstream multi-core processors employ large multilevel on-chip caches making them highly susceptible to soft errors. We demonstrate that designing a reliable cache hierarchy requires understanding the vulnerability interdependencies across different cache levels. This involves vulnerability analyses depending upon the parameters of different cache levels (partition size, line size, etc.) and the corresponding cache access patterns for different applications. This paper presents a novel soft error-aware cache architectural space exploration methodology and vulnerability analysis of multi-level caches considering their vulnerability interdependencies. Our technique significantly reduces exploration time while providing reliability-efficient cache configurations. We also show applicability/benefits for ECC-protected caches under multi-bit fault scenarios.


"Official" electronic version of the publication (accessed through its Digital Object Identifier - DOI)
http://dx.doi.org/10.23919/DATE.2017.7926955


Created from the Publication Database of the Vienna University of Technology.