[Zurück]


Vorträge und Posterpräsentationen (mit Tagungsband-Eintrag):

M. Platzer, P. Puschner:
"Vicuna: A Timing-Predictable RISC-V Vector Coprocessor for Scalable Parallel Computation";
Vortrag: 33rd Euromicro Conference on Real-Time Systems (ECRTS 2021), Online; 05.07.2021 - 09.07.2021; in: "33rd Euromicro Conference on Real-Time Systems (ECRTS 2021)", B. Brandenburg (Hrg.); Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 196 (2021), ISSN: 1868-8969; S. 1 - 18.



Kurzfassung englisch:
In this work, we present Vicuna, a timing-predictable vector coprocessor. A vector processor can be scaled to satisfy the performance requirements of massively parallel computation tasks, yet its timing behavior can remain simple enough to be efficiently analyzable. Therefore, vector processors are promising for highly parallel real-time applications, such as advanced driver assistance systems and autonomous vehicles. Vicuna has been specifically tailored to address the needs of real-time applications. It features predictable and repeatable timing behavior and is free of timing anomalies, thus enabling effective and tight worst-case execution time (WCET) analysis while retaining the performance and efficiency commonly seen in other vector processors. We demonstrate our architecture´s predictability, scalability, and performance by running a set of benchmark applications on several configurations of Vicuna synthesized on a Xilinx 7 Series FPGA with a peak performance of over 10 billion 8-bit operations per second, which is in line with existing non-predictable soft vector-processing architectures.

Schlagworte:
Real-time Systems, Vector Processors, RISC-V


"Offizielle" elektronische Version der Publikation (entsprechend ihrem Digital Object Identifier - DOI)
http://dx.doi.org/10.4230/LIPIcs.ECRTS.2021.1

Elektronische Version der Publikation:
https://publik.tuwien.ac.at/files/publik_296583.pdf


Erstellt aus der Publikationsdatenbank der Technischen Universität Wien.