Publikationsliste für Angehörige von
E362 - Institut für Festkörperelektronik
als Autorinnen / Autoren bzw. wesentlich beteiligte Personen
Vorträge und Posterpräsentationen
1996 - 2024
2231 Datensätze
Vorträge und Posterpräsentationen (mit Tagungsband-Eintrag)
-
B. Hinkov, F. Pilat, M. David, G. Marschick, E. Arigliani, P. Souza, A. Schwaighofer, L. Lux, B. Baumgartner, D. Ristanic, B. Schwarz, H. Detz, A. M. Andrews, B. Lendl, G. Strasser:
"Real-time reaction monitoring of liquids based on monolithic mid-IR sensors";
Vortrag: CLEO - Laser Science to Photonic Applications,
San José, California;
15.05.2022
- 20.05.2022; in: "CLEO Laser Science to Photonic Applications 2022",
(2022),
Paper-Nr. JTh6A.1,
2 S.
-
S. Barth, M. Seifner, M. Sistani:
"Metastable Ge-based Nanowire Materials";
Poster: Nanowire Week,
Chamonix;
25.04.2022
- 29.04.2022; in: "Nanowire Week 2022",
(2022),
S. 68.
-
R Böckle, M. Sistani, M. Bartmann, A. Lugstein, W. Weber:
"Bias-Switchable Photoconductance in a Nanoscale Ge Photodetector Operated in the Negative Differential Resistance Regime";
Poster: Nanowire Week,
Chamonix;
25.04.2022
- 29.04.2022; in: "Nanowire Week 2022",
(2022),
S. 88.
-
R Böckle, M. Sistani, Z. Sadre-Momtaz, M. den Hertog, A. Lugstein, W. Weber, D. Pogany:
"Low-frequency Noise in Room-temperature quasi-ballistic Ge NW Transistors";
Poster: Nanowire Week,
Chamonix;
25.04.2022
- 29.04.2022; in: "Nanowire Week 2022",
(2022),
S. 20.
-
M. Sistani, R Böckle, M. Luong, M. den Hertog, A. Lugstein, W. Weber:
"Programmable negative differential Resistance in Ge Nanowire Transistors";
Vortrag: Nanowire Week,
Chamonix;
25.04.2022
- 29.04.2022; in: "Nanowire Week 2022",
(2022),
S. 64.
-
L. Wind, R Böckle, M. Sistani, L. Vukusic, J. Aberl, M. Brehm, P. Schweizer, W. Weber:
"Highly transparent Contacts to SixGe1-x Nanowires embedded in Metal-Semiconductor-Metal Heterostructures";
Vortrag: Nanowire Week,
Chamonix;
25.04.2022
- 29.04.2022; in: "Nanowire Week 2022",
(2022),
S. 69.
-
P. Allmendinger, K. Komagata, A. Shehzad, R. Matthey, V. Wittwer, A. Hugi, P. Jouy, M. Mangold, S. Dal Cin, G. Strasser, B. Schwarz, M. Gianella, L. Emmenegger, T. Südmeyer, S. Schilt:
"Demonstration of frequency-stabilized quantum cascade laser dual-comb spectroscopy";
Vortrag: SPIE Photonics Europe Conferences,
Straßburg;
03.04.2022
- 07.04.2022; in: "Optical Sensing and Detection VII",
(2022),
Paper-Nr. 12139-39,
1 S.
-
L. Columbo, M. Piccardo, F. Prati, L. Lugiato, M. Brambilla, A. Gatti, C. Silvestri, M. Gioannini, N Opacak, B. Schwarz, F. Capasso:
"Dissipative solitons and frequency combs in a ring quantum cascade laser";
Vortrag: SPIE Photonics Europe Conferences,
Straßburg;
03.04.2022
- 07.04.2022; in: "Nonlinear Optics and its Applications 2022",
(2022),
Paper-Nr. 12143-8,
1 S.
-
S. Dal Cin, F. Pilat, B. Schwarz, G. Strasser:
"Lateral mode switching in broader ridge waveguide Fabry-Perot quantum cascade laser frequency combs";
Vortrag: SPIE Photonics Europe Conferences,
Straßburg;
03.04.2022
- 07.04.2022; in: "Semiconductor Lasers and Laser Dynamics X",
(2022),
Paper-Nr. 12141-17,
1 S.
-
H. Knötig, R. Szedlak, J. Nauschütz, R. Weih, N Opacak, S. Höfling, J. Koeth, G. Strasser:
"The relevance of valence band engineering in interband cascade lasers";
Vortrag: SPIE Photonics Europe Conferences,
Straßburg;
03.04.2022
- 07.04.2022; in: "Semiconductor Lasers and Laser Dynamics X",
(2022),
Paper-Nr. 12141-30,
1 S.
-
F. Pilat, N Opacak, D. Kazakov, S. Dal Cin, F. Capasso, G. Strasser, B. Schwarz:
"The linewidth enhancement factor of a semiconductor frequency comb: a spectrally-resolved measurement technique";
Vortrag: SPIE Photonics Europe Conferences,
Straßburg;
03.04.2022
- 07.04.2022; in: "Nonlinear Optics and its Applications 2022",
(2022),
Paper-Nr. 12141-18,
1 S.
-
B. Schwarz, M Beiser, F. Pilat, S. Dal Cin, J. Hillbrand, R. Weih, J. Koeth, S. Höfling:
"Interband cascade laser frequency comb";
Vortrag: SPIE Europe Photonics Europe Conference,
Strasbourg;
03.04.2022
- 07.04.2022; in: "Semiconductor Lasers and Laser Dynamics X",
(2022),
Paper-Nr. 12141-31,
1 S.
-
B. Schwarz, N Opacak, F. Pilat, D. Kazakov, S. Dal Cin, M Beiser, L. Columbo, J. Hillbrand, M. Piccardo, F. Capasso:
"Frequency comb operation induced by a giant Kerr nonlinearity in quantum cascade lasers";
Vortrag: SPIE Europe Photonics Europe Conference,
Strasbourg, France;
03.04.2022
- 07.04.2022; in: "Semiconductor Lasers and Laser Dynamics X",
(2022),
Paper-Nr. 12131-18,
1 S.
-
M. David, A. Dabrowska, M. Sistani, E. Hinkelmann, I. Doganlar, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov:
"Octave-spanning long-range plasmonic waveguide based on semiconductor-loading for mid-infrared monolithic sensors";
Vortrag: SPIE Photonics West 2022 (SPIE OPTO),
San Francisco;
22.01.2022
- 27.01.2022; in: "Proceedings Volume PC12021, Novel In-Plane Semiconductor Lasers XXI",
PC12021
(2022),
Paper-Nr. PC120210N,
1 S.
Zusätzliche Informationen
-
B. Hinkov, F. Pilat, L. Lux, P. Souza, A. Schwaighofer, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, M. David, G. Strasser:
"Mid-infrared lab-on-a-chip for protein sensing in real-time";
Vortrag: SPIE Photonics West 2022 (SPIE OPTO),
San Francisco;
22.01.2022
- 27.01.2022; in: "Proceedings Volume PC12021, Novel In-Plane Semiconductor Lasers XXI",
PC12021
(2022),
Paper-Nr. PC120210M,
1 S.
Zusätzliche Informationen
-
G. Marschick, M. David, A Delga, N Opacak, B. Schwarz, M. Legree, T. Poletti, A. Evirgen, B. Gerard, G. Strasser, B. Hinkov:
"Quantum cascade detectors: A 9-μm device optimized for low-attenuation free-space optical communication";
Vortrag: SPIE Photonics West 2022 (SPIE OPTO),
San Francisco;
22.01.2022
- 27.01.2022; in: "Proceedings Volume PC12021, Novel In-Plane Semiconductor Lasers XXI",
PC12021
(2022),
Paper-Nr. PC120210K,
1 S.
Zusätzliche Informationen
-
B. Hinkov, M. David, F. Pilat, L. Lux, P. Souza, A. Schwaighofer, B. Schwarz, H. Detz, A. M. Andrews, B. Lendl, G. Strasser:
"Mid-IR plasmonics for next generation liquid sensing";
Vortrag: 10th SciX Conference 2021,
Rhode Island (eingeladen);
26.09.2021
- 01.10.2021; in: "10th SciX Conference",
(2021),
Paper-Nr. IR-04.4,
1 S.
-
R Böckle, A. Lugstein, B. Rellinghaus, B. Lipovec, D. Pohl, M. Sistani, W. Weber:
"Top-down Fabricated Ge-based Reconfigurable FETs";
Vortrag: European Congress and Exhibition on Advanced Materials and Processes (EUROMAT),
VIRTUAL;
13.09.2021
- 17.09.2021; in: "EUROMAT 2021",
(2021),
Paper-Nr. 278,
1 S.
-
M. Sistani, A. Lugstein, K. Eysin, M. Bartmann, R Böckle, W. Weber:
"Gate-Tunable Negative Differential Resistance in Next-Generation Ge Nanodevices and their Performance Metrics";
Vortrag: European Congress and Exhibition on Advanced Materials and Processes (EUROMAT),
VIRTUEL;
13.09.2021
- 17.09.2021; in: "EUROMAT 2021",
(2021),
Paper-Nr. 647,
1 S.
-
Z. Song, M. Sistani, L. Wind, A. Lugstein:
"CMOS-compatible Nanometre-Scale Germanium Photodetector with Tunable-Polarity Sensitivity";
Vortrag: European Congress and Exhibition on Advanced Materials and Processes (EUROMAT),
Virtuell;
13.09.2021
- 17.09.2021; in: "Euromat 2021",
(2021),
Paper-Nr. 1038,
1 S.
-
M Beiser, M Giparakis, H. Knötig, H. Detz, B. Schwarz, A. M. Andrews, G. Strasser:
"Strain Balancing for InAs Based ICL growth";
Vortrag: 21st International Conference on Molecular Beam Epitaxy (ICMBE),
virtuell;
06.09.2021
- 09.09.2021; in: "21st International Conference on Molecular Beam Epitaxy (ICMBE)",
(2021),
Paper-Nr. OSMAw ConfCode 51,
3 S.
-
M. David, A. Dabrowska, M. Sistani, E. Hinkelmann, I. Doganlar, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov:
"LWIR dielectric-loaded surface-plasmon-polariton waveguide for optical sensing";
Vortrag: 15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD),
virtuell;
01.09.2021
- 03.09.2021; in: "15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD)",
(2021),
Paper-Nr. O11-3,
1 S.
-
G. Marschick, M. David, A Delga, N Opacak, B. Schwarz, M Lagree, T. Poletti, A. Evirgen, B. Gerard, G. Strasser, B. Hinkov:
"A novel quantum cascade detector (QCD) for low attenuation free-space telecommunication around 9 µm wavelength";
Vortrag: 15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD),
virtuell;
01.09.2021
- 03.09.2021; in: "15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD)",
(2021),
Paper-Nr. O2-2,
1 S.
-
F. Pilat, N Opacak, D. Kazakov, S. Dal Cin, G. Ramer, B. Lendl, F. Capasso, G. Strasser, B. Schwarz:
"Spectrally-Resolved Measurement of the Linewidth Enhancement Factor";
Vortrag: 15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD),
virtuell;
01.09.2021
- 03.09.2021; in: "15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD)",
(2021),
Paper-Nr. O10-4.
-
M Beiser, N Opacak, B. Schwarz, J. Hillbrand, G. Strasser:
"Engineering the spectral bandwidth of quantum cascade laser frequency combs";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 55.
-
E. Castellano, G. Marschick, J. Tamayo-Arriola, J. Yeste, M. Bajo, V. Munoz-Sanjose, G. Strasser, B. Hinkov, A. Hierro:
"Hybrid plasmon-phonon surface modes at CdZnO-sapphire interfaces: Grating coupling and applications";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 69.
-
S. Dal Cin, J. Hillbrand, P. Allmendinger, P. Jouy, G. Strasser, B. Schwarz:
"Phase locking of two free running Quantum Cascade Laser frequency combs";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 39.
-
M. David, A. Dabrowska, E. Hinkelmann, I. Doganlar, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov:
"Hybrid semiconductor-metal plasmonic waveguide for on-chip sensors in the longwave infrared";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 49.
-
I. Doganlar, M. David, M. Sistani, D Nazzari, H. Detz, A. Lugstein, W. Weber, G. Strasser, B. Hinkov:
"Material Analysis for Mid-IR Dielectric Loaded Plasmonic Waveguides and Their Application in Chemical Sensing";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 54.
-
M. Ertl, B. Limbacher, M. A. Kainz, D Theiner, M Beiser, M Giparakis, A. M. Andrews, G. Strasser, K. Unterrainer:
"Epi-down Bonded Quantum Cascade Patch Antenna Array Laser";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 1.
-
M. Franckié, B. Meng, B. Hinkov, N. Biavan, H.T. Hoang, D. Lefebvre, M. Hugues, D. Stark, A. Torres-Pardo, J. Tamayo-Arriola, M. Bajo, A. Hierro, G. Strasser, J. Faist, J. Chauveau:
"Terahertz intersubband Electroluminescence from Nonpolar m-Plane ZnO Quantum Cascade Structures";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 40.
-
M Giparakis, H. Knötig, M Beiser, H. Detz, W. Schrenk, B. Schwarz, G. Strasser, A. M. Andrews:
"InAs/AlAsSb Quantum Cascade Detector Below 3 μm";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 41.
-
J. Hillbrand, M. Bertrand, V. Wittwer, N Opacak, F. Kapsalidis, B. Schwarz, T. Südmeyer, M. Beck, J. Faist:
"Optical injection locking enables coherent dual-comb spectroscopy";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 39.
-
H.T. Hoang, M. David, D. Disnan, I. Doganlar, H. Detz, U. Schmid, G. Strasser, B. Hinkov:
"Modelling, fabrication and characterization of low-density polyethylene based plasmonic waveguides for mid-IR photonic networks";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 55.
-
M. Jaidl, N Opacak, M. A. Kainz, S. Schönhuber, D Theiner, B. Limbacher, M Beiser, M Giparakis, A. M. Andrews, G. Strasser, B. Schwarz, J. Darmo, K. Unterrainer:
"Comb operation in THz Quantum Cascade Lasers";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 1
- 2.
-
H. Knötig, R. Weih, N Opacak, S. Höfling, J. Koeth, G. Strasser, B. Schwarz:
"Interband cascade lasers: beating intersubband transitions";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 40.
-
B. Limbacher, M. A. Kainz, S. Schönhuber, M. Jaidl, D Theiner, M. Wenclawiak, C.G. Derntl, A. M. Andrews, H. Detz, G. Strasser, A. Schwaighofer, B. Lendl, J. Darmo, K. Unterrainer:
"Electronic Transport in Polaritonic Semiconductor Heterostructure Systems";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
Paper-Nr. 413,
1 S.
-
B. Limbacher, S. Schönhuber, N. Bachelard, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, S. Rotter, K. Unterrainer:
"Deep Learning Enhanced Optical Control of Quantum Cascade Random Lasers";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
Paper-Nr. 156,
1 S.
-
G. Marschick, M. David, S. Isceri, A Delga, N Opacak, B. Schwarz, M Lagree, T. Poletti, A. Evirgen, B. Gerard, A. M. Andrews, G. Strasser, B. Hinkov:
"Novel quantum cascade detectors (QCD) for telecommunication applications between 9 - 10 µm wavelength";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
Paper-Nr. 153,
1 S.
-
N Opacak, S. Dal Cin, J. Hillbrand, G. Strasser, B. Schwarz:
"Giant Kerr nonlinearity of intersubband transitions - Origin of self-starting freuquency combs";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 39.
-
F. Pilat, L. Lux, P. Souza, A. Schwaighofer, B. Schwarz, H. Detz, A. M. Andrews, B. Lendl, G. Strasser, B. Hinkov:
"Quantum Cascade Lab-on-a-Chip for Protein Sensing";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 45.
-
F. Pilat, N Opacak, D. Kazakov, S. Dal Cin, G. Ramer, B. Lendl, F. Capasso, B. Schwarz:
"Measuring the Linewidth Enhancement Factor of a Laser Frequency Comb";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 39.
-
R. Szedlak, H. Knötig, B. Hinkov, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Continuous-Wave Ring lnterband Cascade Lasers for Spectroscopic Sensing";
Vortrag: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 55.
-
D. Winter, B. Limbacher, M. Jaidl, M. Ertl, M. Brandstetter, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, J. Darmo, K. Unterrainer:
"Investigation of Near-Infrared Induced Spatial THz Modulation in High Resistivity Silicon";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
30.08.2021
- 03.09.2021; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
S. 1.
-
B. Schwarz, N Opacak, S. Dal Cin, J. Hillbrand:
"Frequency combs enabled by Bloch gain in quantum cascade lasers";
Vortrag: Ursi Gass 2021,
Rom (eingeladen);
28.08.2021
- 04.09.2021; in: "Ursi Gass 2021",
(2021),
Paper-Nr. Th-Dl 5-PM2-3,
1 S.
-
S. Dal Cin, J. Hillbrand, P. Allmendinger, P. Jouy, G. Strasser, B. Schwarz:
"Optical Phase Locked Loop for Quantum Cascade Laser Frequency Combs";
Poster: Photonica 2021,
Belgrad;
23.08.2021
- 27.08.2021; in: "Photonica 2021",
(2021).
-
M. David, A. Dabrowska, M. Sistani, E. Hinkelmann, I. Doganlar, B. Schwarz, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov:
"Towards long-wave infrared lab-on-chip sensors using plasmonic and quantum cascade technology";
Poster: ICAVS11,
Krakau;
23.08.2021
- 26.08.2021; in: "11th International Conference on advanced vibrational spectroscopy",
(2021),
S. 31.
-
B. Hinkov, F. Pilat, L. Lux, P. Souza, A. Schwaighofer, B. Schwarz, H. Detz, A. M. Andrews, B. Lendl, G. Strasser:
"Mid-infrared lab-on-a-chip for highly-sensitive plasmonic sensing of proteins";
Vortrag: ICAVS11,
Krakau;
23.08.2021
- 26.08.2021; in: "11th International Conference on advanced vibrational spectroscopy",
(2021),
S. 23.
-
H. Knötig, R. Weih, N Opacak, S. Höfling, G. Strasser, B. Schwarz:
"Interband cascade lasers: overcoming intersubband transitions in the valence band";
Vortrag: Photonica 2021,
Belgrad;
23.08.2021
- 27.08.2021; in: "Photonics 2021",
(2021),
S. 121.
-
N Opacak, S. Dal Cin, J. Hillbrand, G. Strasser, B. Schwarz:
"Frequency combs generated by a Bloch gain induced giant Kerr nonlinearity";
Vortrag: Photonica 2021,
Belgrad;
23.08.2021
- 27.08.2021; in: "Photonics 2021",
(2021),
S. 126.
-
F. Pilat, N Opacak, D. Kazakov, S. Dal Cin, G. Ramer, B. Lendl, F. Capasso, G. Strasser, B. Schwarz:
"Measuring the Spectrally-Resolved Linewidth Enhancement Factor";
Vortrag: Photonica 2021,
Belgrad;
23.08.2021
- 27.08.2021; in: "Photonics 2021",
(2021),
S. 120.
-
D. Wacht, M. David, B. Hinkov, B. Lendl:
"A Mesoporous Zirconia Coating for Sensing Applications using ATR-FTIR Spectroscopy";
Poster: ICAVS11,
Krakau;
23.08.2021
- 26.08.2021; in: "11th International Conference on advanced vibrational spectroscopy",
(2021),
S. 119.
-
A. Dabrowska, M. David, A. Schwaighofer, B. Hinkov, A. Harrer, G. Strasser, B. Lendl:
"Towards Broadband Mid-Infrared Fully Integrated Protein Sensor employing a Quantum Cascade Laser and Quantum Cascade Detector";
Vortrag: CLEO/EUROPE-EQEC 2021,
Munich, Germany;
21.06.2021
- 25.06.2021; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference",
OSA Technical Digest (Optical Society of America, 2021),
(2021),
ISBN: 978-1-6654-1876-8;
Paper-Nr. paper CL_4_2.
Zusätzliche Informationen
-
M. David, A. Dabrowska, M. Sistani, E. Hinkelmann, I. Doganlar, B. Schwarz, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov:
"Low loss dielectric loaded plasmonic waveguides for sensing applications above nine microns";
Poster: CLEO/Europe EQEC 2021,
Virtual Conference;
21.06.2021
- 25.06.2021; in: "Proceedings of Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference",
(2021),
Paper-Nr. EH-P.5,
1 S.
-
M Giparakis, H. Knötig, M Beiser, H. Detz, W. Schrenk, B. Schwarz, G. Strasser, A. M. Andrews:
"InAs/AlAsSb-Based Quantum Cascade Detector at 2.7 µm";
Poster: CLEO/Europe EQEC 2021,
Virtual Conference;
21.06.2021
- 25.06.2021; in: "Proceedings of Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference",
(2021),
Paper-Nr. CH-P.22,
1 S.
-
B. Hinkov, B. Meng, H.T. Hoang, N. Le Biavan, D. Lefebvre, D. Stark, M. Franckié, A. Torres-Pardo, J. Tamayo-Arriola, M. Bajo, A. Hierro, J. Faist, J. Chauveau, G. Strasser:
"THz electroluminescence from non-polar ZnO quantum cascade structures";
Vortrag: CLEO/Europe EQEC 2021,
Virtual Conference;
21.06.2021
- 25.06.2021; in: "Proceedings of Conference on Lasers and Electro-Optics Europe & Eurpean Quantum Electronics Conference",
(2021),
Paper-Nr. C.C-7.3,
1 S.
-
M. Jaidl, N Opacak, M. A. Kainz, S. Schönhuber, D Theiner, B. Limbacher, M Beiser, M Giparakis, A. M. Andrews, G. Strasser, B. Schwarz, J. Darmo, K. Unterrainer:
"Comb Operation in Terahertz Quantum Cascade Ring Lasers";
Vortrag: CLEO/Europe EQEC 2021,
Virtual Conference;
21.06.2021
- 25.06.2021; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC)",
IEEE Xplore,
(2021),
ISBN: 978-1-6654-1876-8;
Paper-Nr. CC-8.2,
1 S.
-
M. A. Kainz, M. Jaidl, B. Limbacher, D Theiner, M Giparakis, M Beiser, A. M. Andrews, G. Strasser, K. Unterrainer:
"Terahertz Amplifier with Optical Threshold";
Vortrag: CLEO/Europe EQEC 2021,
Virtual Conference;
21.06.2021
- 25.06.2021; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC)",
IEEE Xplore,
(2021),
ISBN: 978-1-6654-1876-8;
Paper-Nr. CC-6.5,
1 S.
-
L. Krüger, J. Hillbrand, J. Heidrich, M Beiser, R. Weih, J. Koeth, C.R. Phillips, B. Schwarz, G. Strasser, U. Keller:
"Gigahertz Mid-Infrared Interband Cascade Detectors: Photo-Response Saturation by a Femtosecond Oscillator";
Vortrag: CLEO/Europe EQEC 2021,
Virtual Conference;
21.06.2021
- 25.06.2021; in: "Proceedings of Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference",
(2021),
Paper-Nr. Cl-5.6 FRI,
1 S.
-
B. Limbacher, S. Schönhuber, N. Bachelard, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, S. Rotter, K. Unterrainer:
"All-Optical Control of Quantum Cascade Random Lasers Enhanced by Deep Learning";
Vortrag: CLEO/Europe EQEC 2021,
Virtual Conference;
21.06.2021
- 25.06.2021; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC)",
IEEE Xplore,
(2021),
ISBN: 978-1-6654-1876-8;
Paper-Nr. CC-7.5,
1 S.
-
B. Limbacher, S. Schönhuber, M. Wenclawiak, M. A. Kainz, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Terahertz Optical Machine Learning";
Vortrag: CLEO/Europe EQEC 2021,
Virtual Conference;
21.06.2021
- 25.06.2021; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC)",
IEEE Xplore,
(2021),
ISBN: 978-1-6654-1876-8;
Paper-Nr. CC-5.4,
1 S.
-
B. Schwarz:
"Hot topics: what“s next in integrated frequency combs";
Vortrag: CLEO/Europe EQEC 2021,
Virtual Conference (eingeladen);
21.06.2021
- 25.06.2021; in: "Proceedings of Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference",
(2021),
Paper-Nr. SP-2.
-
S. Steiner, G. Rogl, H. Michor, J. Bursik, G. Giester, A. Grytsiv, P. Rogl, H. Detz:
"DFT simulation of the physical properties of the newly discovered Ti3Co5B2 -type novel borides Mn(3−x) {Rh,Ir}5B2 using HPC in addition to X-ray single crystal and TEM data evaluation";
Vortrag: Austrian-Slovenian HPC Meeting 2021 (ASHPC21),
online;
31.05.2021
- 02.06.2021; in: "Book of abstract ASHPC21",
(2021),
ISBN: 978-961-6980-77-7;
1 S.
Zusätzliche Informationen
-
M. David, A. Dabrowska, M. Sistani, I. Doganlar, B. Schwarz, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov, E. Hinkelmann:
"Germanium-based Dielectric Loaded Plasmonic Waveguides For The Long-wave Infrared Spectral Range";
Vortrag: Compound Semiconductor Week (CSW),
Stockholm;
09.05.2021
- 13.05.2021; in: "Online Conference - CSW 2021",
(2021),
Paper-Nr. WeA2.6,
1 S.
-
B. Hinkov, H.T. Hoang, G. Strasser, B. Meng, D. Stark, M. Franckié, J. Faist, N. Biavan, D. Lefebvre, M. Hugues, J. Chauveau, A. Jolliver, S. Pirotta, M. Tchernycheva, R. Colombelli, A. Torres-Pardo, J. Tamayo-Arriola, M. Bajo, A. Hierro:
"THz and mid-infrared optoelectronic devices based on non-polar ZnO";
Vortrag: Compound Semiconductor Week (CSW),
Stockholm;
09.05.2021
- 13.05.2021; in: "Online Conference - CSW 2021",
(2021),
Paper-Nr. WeA2.4,
1 S.
-
B. Hinkov, H. Knötig, J.P. Waclawek, B. Lendl, G. Strasser, R. Weih, J. Koeth, S. Höfling:
"Continous-wave Surface-emitting Ring Interband Cascade Lasers";
Vortrag: Compound Semiconductor Week (CSW),
Stockholm;
09.05.2021
- 13.05.2021; in: "Online Conference - CSW 2021",
(2021),
Paper-Nr. WeA2.3,
1 S.
-
M. Jaidl, N Opacak, M. A. Kainz, S. Schönhuber, D Theiner, B. Limbacher, M Beiser, M Giparakis, A. M. Andrews, G. Strasser, B. Schwarz, J. Darmo, K. Unterrainer:
"Comb Formation In Ultrathin Terahertz Quantum Cascade Ring Lasers";
Poster: Online Conference CLEO 2021,
A Virtual Conference;
09.05.2021
- 14.05.2021; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics (CLEO)",
IEEE Xplore,
(2021),
ISBN: 978-1-943580-91-0;
Paper-Nr. JTh3A.78,
3 S.
-
M. A. Kainz, M. Jaidl, B. Limbacher, D Theiner, M Giparakis, M Beiser, A. M. Andrews, G. Strasser, K. Unterrainer:
"Terahertz Quantum Cascade Amplifier with Optical Threshold";
Vortrag: Online Conference CLEO 2021,
A Virtual Conference;
09.05.2021
- 14.05.2021; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics (CLEO)",
IEEE Xplore,
(2021),
ISBN: 978-1-943580-91-0;
Paper-Nr. ATu2T.5,
2 S.
-
B. Limbacher, S. Schönhuber, N. Bachelard, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, S. Rotter, K. Unterrainer:
"Towards Holistic Control of THz Quantum Cascade Random Lasers";
Vortrag: Online Conference CLEO 2021,
Virtual Conference;
09.05.2021
- 14.05.2021; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics (CLEO)",
IEEE Xplore,
(2021),
ISBN: 978-1-943580-91-0;
Paper-Nr. SM1K.7,
2 S.
-
J. Delaforce, M. Sistani, R. Kramer, M. Hertog, N. Roch, C. Naud, A. Lugstein, O. Buisson:
"Superconducting Proximity Effect in Ultra-Scaled Pure Ge Quantum Dots";
Vortrag: APS March Meeting,
ONLINE;
15.03.2021
- 19.03.2021; in: "APS March Meeting",
(2021),
Paper-Nr. B48.00005,
1 S.
Zusätzliche Informationen
-
L. Columbo, F. Prati, L. Lugiato, A. Gatti, M. Gionannini, C. Silvestri, B. Schwarz, N Opacak, M. Piccardo, F. Capasso, M. Brambilla:
"Frequency combs and temporal solitons in a coherently driven ring quantum cascade laser";
Vortrag: Online Conference - Photonics West 2021,
California;
06.03.2021
- 12.03.2021; in: "Proceedings Volume 11705, Novel In-Plane Semiconductor Lasers XX",
(2021),
Paper-Nr. 117050Y,
1 S.
Zusätzliche Informationen
-
B. Hinkov, H.T. Hoang, D. Ristanic, M. Hugues, J. Chauveau, G. Strasser:
"Fabrication and surface-leakage suppression in (non-polar) m-Zn(Mg)O optoelectronic devices";
Vortrag: Online Conference - Photonics West 2021,
California (eingeladen);
06.03.2021
- 12.03.2021; in: "Proceedings Volume 11687, Oxide-based Materials and Devices XII",
(2021),
Paper-Nr. 1168715,
1 S.
Zusätzliche Informationen
-
D. Kazakov, M. Piccardo, B. Schwarz, N Opacak, M Beiser, Y. Wang, S. Jha, M. Tamagnone, J. Hillbrand, L. Columbo, A. Belyanin, F. Capasso:
"Manipulating frequency comb regimes in semiconductor ring lasers";
Vortrag: Online Conference - Photonics West 2021,
California;
06.03.2021
- 12.03.2021; in: "Proceedings Volume 11705, Novel In-Plane Semiconductor Lasers XX",
(2021),
Paper-Nr. 117050R,
1 S.
Zusätzliche Informationen
-
H. Knötig, B. Hinkov, R. Weih, B. Schwarz, S. Lindner, J.P. Waclawek, B. Lendl, S. Höfling, J. Koeth, G. Strasser:
"Vertically emitting ring interband cascade lasers";
Vortrag: Online Conference - Photonics West 2021,
California (eingeladen);
06.03.2021
- 12.03.2021; in: "Proc. SPIE 11705, Novel In-Plane Semiconductor Lasers XX",
11705
(2021),
Paper-Nr. 1170517,
1 S.
Zusätzliche Informationen
-
J. Lautenschläger, D. Auth, Ch. Weber, L. Wegert, D. Kazakov, A. Klehr, A. Knigge, J. Hillbrand, B. Schwarz, F. Capasso, S. Breuer:
"Frequency comb seeding of a single-mode near-infrared semiconductor laser";
Vortrag: Online Conference - Photonics West 2021,
California;
06.03.2021
- 12.03.2021; in: "Proceedings Volume 11705, Novel In-Plane Semiconductor Lasers XX",
(2021),
Paper-Nr. 1170504,
1 S.
Zusätzliche Informationen
-
N Opacak, S. Dal Cin, J. Hillbrand, G. Strasser, B. Schwarz:
"Origin of the linewidth enhancement factor: Resonant processes behind optical frequency comb formation";
Vortrag: Online Conference - Photonics West 2021,
California;
06.03.2021
- 12.03.2021; in: "Proceedings SPIE Photonics West",
11705
(2021),
Paper-Nr. 1170511,
1 S.
Zusätzliche Informationen
-
B. Schwarz, M Beiser, S. Dal Cin, J. Hillbrand, N Opacak, G. Strasser, H. Schneider, M. Piccardo, F. Capasso, R. Weih:
"Mid-infrared frequency combs based on semiconductor lasers";
Vortrag: Online Conference - Photonics West 2021,
California (eingeladen);
06.03.2021
- 12.03.2021; in: "Proceedings Volume 11705, Novel In-Plane Semiconductor Lasers XX",
(2021),
Paper-Nr. 117050S,
1 S.
Zusätzliche Informationen
-
G. Strasser, J. Hillbrand, H. Knötig, S. Dal Cin, R. Weih, A. M. Andrews, H. Detz, B. Schwarz:
"Frequency performance of intersubband detectors";
Vortrag: Online Conference - Photonics West 2021,
California (eingeladen);
06.03.2021
- 12.03.2021; in: "Proceedings Volume 11700, Optical and Quantum Sensing and Precision Metrology",
(2021),
Paper-Nr. 1170040,
1 S.
Zusätzliche Informationen
-
B. Meng, B. Hinkov, H.T. Hoang, M. Hugues, N. Le Biavan, D. Stark, G. Strasser, J. Chauveau, J. Faist:
"Terahertz intersubband electroluminescence from ZnO quantum cascade structures";
Vortrag: IRMMW-THz 2020,
Buffalo, New York, USA;
08.11.2020
- 13.11.2020; in: "45th International Conference on Infrared, Millimeter, and Terahertz Waves",
(2020),
ISBN: 978-1-7281-6620-9;
S. 333.
Zusätzliche Informationen
-
S. Schönhuber, M. A. Kainz, B. Limbacher, M. Wenclawiak, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Controlling and shaping the THz emission from Quantum Cascade Lasers";
Hauptvortrag: IRMMW-THz 2020,
New York, USA (eingeladen);
08.11.2020
- 13.11.2020; in: "45th International Conference on Infrared, Millimeter, and Terahertz Waves",
(2020),
3 S.
-
B. Hinkov, F. Pilat, L. Lux, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, G. Strasser:
"Towards In-situ Measurements Of The Protein Secondary Structure Based On Mid-IR Lab-on-a-chip Quantum Cascade Technology";
Vortrag: Online Conference SCIX 2020,
Sparx (eingeladen);
12.10.2020
- 15.10.2020; in: "SCIX2020",
(2020),
Paper-Nr. MOLEC-OD1.2,
1 S.
-
Z. Gökdeniz, M. Mündlein, G. Khatibi, A. Steiger-Thirsfeld, J. Nicolics:
"Ermüdungsverhalten hoch-belasteter Ag-Sinterverbindungen";
Vortrag: EBL 2020 - Elektronische Baugruppen und Leiterplatten,
Fellbach, D;
18.09.2020
- 19.09.2020; in: "EBL 2020 - Elektronische Baugruppen und Leiterplatten",
VDE,
(2020),
ISBN: 978-3-8007-5185-3;
S. 204
- 209.
Zusätzliche Informationen
-
M Beiser, J. Hillbrand, A. M. Andrews, R. Weih, S. Höfling, G. Strasser, B. Schwarz:
"Picosecond pulses in Interband Cascade Lasers";
Poster: Online Conference - International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
07.09.2020
- 10.09.2020; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
-
M Giparakis, H. Knötig, M Beiser, J. Hillbrand, H. Detz, W. Schrenk, B. Schwarz, G. Strasser, A. M. Andrews:
"2.7 μm short-wavelength InAs/AlAsSb quantum cascade detector";
Poster: Online Conference International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
07.09.2020
- 10.09.2020; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
Zusätzliche Informationen
-
J. Hillbrand, N Opacak, M. Piccardo, H. Schneider, G. Strasser, F. Capasso, B. Schwarz:
"Active mode-locking of mid-infrared quantum cascade lasers";
Poster: Online Conference International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
07.09.2020
- 10.09.2020; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
-
M. A. Kainz, S. Schönhuber, M. Jaidl, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Thermal dynamics optimization of terahertz quantum cascade lasers with different barrier compositions";
Poster: Online Conference - International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
07.09.2020
- 10.09.2020; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
-
B. Limbacher, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, A. M. Andrews, H. Detz, G. Strasser, A. Schwaighofer, B. Lendl, J. Darmo, K. Unterrainer:
"Resonant Tunneling Diodes Strongly Coupled to the Cavity Field";
Poster: Online Conference - International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
07.09.2020
- 10.09.2020; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
Zusätzliche Informationen
-
S. Schönhuber, B. Limbacher, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, K. Unterrainer:
"All-optical Control of Terahertz Quantum Cascade Random Lasers";
Poster: Online Conference - International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
07.09.2020
- 10.09.2020; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
-
M. Wenclawiak, J. Darmo, K. Unterrainer, A. M. Andrews, G. Strasser:
"cQED in Ensembles of Polaritonic Meta-Atoms";
Poster: Online Conference - International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
07.09.2020
- 10.09.2020; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
-
J. Hillbrand, N Opacak, M. Piccardo, H. Schneider, G. Strasser, F. Capasso, B. Schwarz:
"Ultrashort pulses from a 8 μm wavelength semiconductor laser";
Vortrag: Online Conference - OSA Optical Sensors and Sensing Congress 2020,
Vancouver;
22.06.2020
- 26.06.2020; in: "Proceedings Optical Sensors and Sensing Congress",
(2020),
ISBN: 978-1-943580-78-1;
Paper-Nr. EW4H.3,
2 S.
Zusätzliche Informationen
-
B. Schwarz:
"Mid-infrared Frequency Combs: Towards a Spectrometer-on-a-chip";
Vortrag: Online Conference - OSA Optical Sensors and Sensing Congress 2020,
Vancouver (eingeladen);
22.06.2020
- 26.06.2020; in: "Proceedings Optical Sensors and Sensing Congress",
(2020),
ISBN: 978-1-943580-78-1.
-
Z. Gökdeniz, G. Khatibi, C. Gierl-Mayer, A. Steiger-Thirsfeld, M. Mündlein:
"Temperature Dependent Physical Properties of Sintered Silver Layers for Power Electronics";
Vortrag: 43rd International Spring Seminar on Electronics Technology - 2020,
Demanovska Valley, Slovakia;
14.05.2020
- 15.05.2020; in: "Proceedings of the 43rd International Spring Seminar on Electronics Technology - 2020",
IEEE,
(2020),
ISBN: 978-1-7281-6773-2;
S. 1
- 8.
Zusätzliche Informationen
-
H. Knötig, A. M. Andrews, B. Hinkov, R. Weih, J. Koeth, B. Schwarz, G. Strasser:
"Interband Cascade and Quantum Cascade Ring Lasers";
Vortrag: Conference on Lasers and Electro-Optics (CLEO),
Washington (eingeladen);
10.05.2020
- 15.05.2020; in: "CLEO: Science and Innovations 2020",
OSA Publishing,
(2020),
ISBN: 978-1-943580-76-7;
Paper-Nr. paper STh1E.3.,
2 S.
Zusätzliche Informationen
-
A. M. Andrews, M Beiser, M Giparakis, H. Detz, M. A. Kainz, S. Schönhuber, B. Limbacher, B. Schwarz, J. Hillbrand, W. Schrenk, K. Unterrainer, G. Strasser:
"Growth of Intersubband Devices";
Vortrag: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf (eingeladen);
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 39.
-
A. M. Andrews, M. A. Kainz, S. Schönhuber, B. Limbacher, H. Detz, M Beiser, M Giparakis, W. Schrenk, G. Strasser, G. Bastard, K. Unterrainer:
"Laser level Selection in Terahertz Quantum Cascade Lasers using a Magnetic Field";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 97.
-
M Beiser, J. Hillbrand, A. M. Andrews, R. Weih, S. Höfling, G. Strasser, B. Schwarz:
"Interband Cascade Laser Frequency Comb generation and high- speed detection";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 92.
-
M. David, J. Hillbrand, S. Dal Cin, A. M. Andrews, H. Detz, E. Gornik, B. Schwarz, G. Strasser:
"High speed and high bandwidth quantum cascade detectors";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 83.
-
M Giparakis, M. A. Kainz, M Beiser, K. Unterrainer, G. Strasser, A. M. Andrews:
"Investigation of the optimum phonon depopulation energy separation in a GaAs/AlGaAs superlattice";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 87.
-
J. Hillbrand, D. Auth, M. Piccardo, N Opacak, G. Strasser, F. Capasso, S. Breuer, B. Schwarz:
"In-phase and anti-phase synchronization in a laser frequency comb";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 120
- 121.
Zusätzliche Informationen
-
J. Hillbrand, N Opacak, M. Piccardo, H. Schneider, G. Strasser, F. Capasso, B. Schwarz:
"Actively mode-locked mid-infrared quantum cascade laser";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 130
- 131.
-
B. Hinkov, A. Jollivet, H.T. Hoang, S. Pirotta, M. Tchernycheva, R. Colombelli, M. Hugues, N. Le Biavan, M. Montes Bajo, A. Hierro, J. Chauveau, F.H. Julien, G. Strasser:
"Quantum Cascade Detector on m-plane ZnO/ZnMgO";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 119.
-
H.T. Hoang, B. Hinkov, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Fabrication of ZnO/ZnMgO-based optoelectronic devices";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 93.
-
M. Jaidl, M. A. Kainz, S. Schönhuber, D Theiner, A. M. Andrews, M Beiser, M Giparakis, G. Strasser, J. Darmo, K. Unterrainer:
"Multi-mode emission from a THz Quantum Cascade Ring Laser";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 100
- 101.
-
M. A. Kainz, M. Jaidl, S. Schönhuber, D Theiner, M. Semtsiv, S. Kurlov, W. Masselink, M Beiser, M Giparakis, H. Detz, G. Strasser, K. Unterrainer, A. M. Andrews:
"Thermoelectrically Cooled THz Quantum Cascade Lasers";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 1
- 2.
-
H. Knötig, B. Hinkov, R. Weih, S. Höfling, J. Koeth, J.P. Waclawek, S. Lindner, B. Lendl, G. Strasser:
"Ring interband cascade lasers emitting in continous-wave mode at room temperature";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 86.
-
N Opacak, G. Strasser, B. Schwarz:
"Theory of frequency modulated combs in semiconductor lasers";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 114
- 115.
-
F. Pilat, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, G. Strasser, B. Hinkov:
"Quantum Cascade Lab-on-a-Chip for Fluid Sensing Applications";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 117.
-
S. Schönhuber, B. Limbacher, M. A. Kainz, D Theiner, M. Jaidl, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, K. Unterrainer:
"Optical Control of Terahertz Quantum Cascade Random Lasers";
Vortrag: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
23.02.2020
- 28.02.2020; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
S. 80
- 81.
-
D. Auth, J. Hillbrand, G. Strasser, A. Ramdane, Q. Gaimard, B. Schwarz, S. Breuer:
"Electrical injection locking dynamics of a quantum dash frequency-comb laser";
Vortrag: SPIE Photonics West 2020,
San Francisco;
01.02.2020
- 06.02.2020; in: "Proceedings Volume 11301",
(2020),
Paper-Nr. 11301-24,
1 S.
Zusätzliche Informationen
-
J. Chauveau, N. Le Biavan, M. Hugues, M. Montes Bajo, J. Tamayo-Arriola, A. Jollivet, B. Hinkov, H.T. Hoang, B. Meng, D. Lefebvre, M. Tchernycheva, F.H. Julien, G. Strasser, A. Hierro, J. Faist:
"Zinc oxide for THz quantum-cascade devices";
Vortrag: SPIE Photonics West 2020,
San Francisco (eingeladen);
01.02.2020
- 06.02.2020; in: "SPIE Photonics West Conference 11281",
(2020),
Paper-Nr. 11281-47,
1 S.
Zusätzliche Informationen
-
M. A. Kainz, S. Schönhuber, M. Jaidl, G. Strasser, A. M. Andrews, J. Darmo, K. Unterrainer:
"High performance and control of THz quantum cascade lasers";
Vortrag: SPIE Photonics West 2020,
San Francisco (eingeladen);
01.02.2020
- 06.02.2020; in: "Proceedings Volume 11301, Novel In-Plane Semiconductor Lasers XIX",
(2020),
Paper-Nr. 11301-53,
1 S.
Zusätzliche Informationen
-
N. Le Biavan, M. Hugues, B. Meng, M. Montes Bajo, J. Tamayo-Arriola, A. Jollivet, B. Hinkov, H.T. Hoang, A. Torres-Pardo, D. Lefebvre, Y. Cordier, B. Vinter, M. Tchernycheva, F.H. Julien, G. Strasser, A. Hierro, J. Faist, J. Chauveau:
"Electronic coupling in ZnO asymmetric quantum wells for intersubband cascade devices";
Vortrag: SPIE Photonics West 2020,
San Francisco;
01.02.2020
- 06.02.2020; in: "Proceedings Volume 11281, Oxide-based Materials and Devices XI",
(2020),
Paper-Nr. 11281-58,
1 S.
Zusätzliche Informationen
-
M. Piccardo, D. Kazakov, S. Jha, M Beiser, B. Schwarz, F. Capasso:
"Synchronization of coupled monolithic ring laser frequency combs";
Vortrag: SPIE Photonics West 2020,
San Francisco;
01.02.2020
- 06.02.2020; in: "SPIE Photonics West Conference 11274",
(2020),
Paper-Nr. 11274-34,
1 S.
-
M. Piccardo, B. Schwarz, M Beiser, D. Kazakov, Y. Wang, M. Tamagnone, T. Wei, A. Zhu, A. Belyanin, F. Capasso:
"Frequency-comb generation in ring-injection lasers by defect engineering";
Vortrag: SPIE Photonics West 2020,
San Francisco (eingeladen);
01.02.2020
- 06.02.2020; in: "SPIE Photonics West Conference 11301",
(2020),
Paper-Nr. 11301-40,
1 S.
Zusätzliche Informationen
-
B. Schwarz, J. Hillbrand, M Beiser, A. M. Andrews, H. Detz, R. Weih, A. Schade, S. Höfling, G. Strasser:
"Interband cascade laser frequency combs";
Vortrag: SPIE Photonics West 2020,
San Francisco (eingeladen);
01.02.2020
- 06.02.2020; in: "Proceedings Volume 11284, Smart Photonic and Optoelectronic Integrated Circuits XXII",
(2020),
Paper-Nr. 11284-40,
1 S.
Zusätzliche Informationen
-
B. Schwarz, J. Hillbrand, M. Piccardo, A. M. Andrews, H. Detz, H. Schneider, G. Strasser, F. Capasso:
"Picosecond pulses from an actively mode-locked quantum cascade laser";
Vortrag: SPIE Photonics West 2020,
San Francisco (eingeladen);
01.02.2020
- 06.02.2020; in: "Proceedings Volume 11288, Quantum Sensing and Nano Electronics and Photonics XVII",
(2020),
Paper-Nr. 11288-62,
1 S.
Zusätzliche Informationen
-
B. Schwarz, N Opacak:
"Understanding frequency-modulated combs";
Vortrag: SPIE Photonics West 2020,
San Francisco (eingeladen);
01.02.2020
- 06.02.2020; in: "SPIE Photonics West Conference 11301",
(2020),
Paper-Nr. 11301-41,
1 S.
Zusätzliche Informationen
-
J. Hillbrand, H. Detz, A. M. Andrews, H. Schneider, R. Weih, F. Capasso, S. Höfling, G. Strasser, B. Schwarz:
"Semiconductor Laser Frequency Combs: From Fundamentals Towards Applications";
Vortrag: SCIX 2019,
Palm Springs (eingeladen);
13.10.2019
- 18.10.2019; in: "SciX 2019",
(2019),
Paper-Nr. IR-06.4,
1 S.
-
S. Kolodinski, C. Mart, W. Weinreich, V. Sessi, J. Trommer, T. Chohan, H. Mulaosmanovic, W. Weber, S. Slesazeck, B. Peng, C. Esposito, Y. Zimmermann, M. Schröter, X. Xu, P. Testa, C. Carta, F. Ellinger, S. Lehmann, M. Drescher, M. Wiatr:
"IPCEI Subcontracts Contributing to 22-FDX Add-on Functionalities at GF";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
krakow, Polen;
23.09.2019
- 26.09.2019; in: "IEEE ESSDERC Proceedings",
(2019),
S. 74
- 77.
-
J. Trommer, M. Simon, S. Slesazeck, W. Weber, T. Mikolajick:
"Eliminating Charge Sharing in Clocked Logic Gates on the Device Level Employing Transistors with Multiple Independent Inputs";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
krakow, Polen;
23.09.2019
- 26.09.2019; in: "IEEE ESSDERC Proceedings",
(2019),
S. 134
- 137.
-
Z. Geokdeniz, G. Khatibi, J. Nicolics, A. Steiger-Thirsfeld:
"Behavior of silver-sintered joints by cycling mechanical loading and influence of temperature";
Poster: EMPC, 22nd Microelectronics an Packaging Conference (EMPC) & Exhibition,
Pisa, Italy;
16.09.2019
- 19.09.2019; in: "EMPC, 22nd Microelectronics an Packaging Conference (EMPC) & Exhibition",
IEEE (Hrg.);
IEEE- Explore,
(2019),
S. 1
- 6.
-
J. Hillbrand, A. M. Andrews, H. Detz, H. Schneider, G. Strasser, F. Capasso, B. Schwarz:
"Actively mode-locked mid-infrared quantum cascade laser";
Vortrag: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 136
- 137.
-
J. Hillbrand, D. Auth, M. Piccardo, G. Strasser, F. Capasso, S. Breuer, B. Schwarz:
"Frequency comb dynamics of ultrafast quantum dot lasers";
Poster: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 272
- 273.
-
J. Hillbrand, S. Dal Cin, A. M. Andrews, H. Detz, E. Gornik, B. Schwarz, G. Strasser:
"High bandwidth quantum cascade detecors";
Vortrag: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 174
- 175.
-
B. Hinkov, J. Hayden, R. Szedlak, P. Martin-Mateos, B Jerez, P. Acedo, B. Lendl, G. Strasser:
"High frequency modulation of mid-IR ring and ridge DFB Quantum Cascade Lasers";
Poster: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 204
- 205.
-
B. Hinkov, A. Jolliver, S. Pirotta, M. Tchernycheva, R. Colombelli, M. Hugues, N. Le Biavan, M. Montes Bajo, A. Hierro, J. Chauveau, G. Strasser, F.H. Julien:
"Quantum cascade detectors based on non-polar ZnO/ZnMgO quantum wells";
Vortrag: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 172
- 173.
-
M. A. Kainz, A. M. Andrews, S. Schönhuber, B. Limbacher, M. Jaidl, D Theiner, H. Detz, G. Strasser, G. Bastard, K. Unterrainer:
"Mode Switching of a Dual-color Terahertz Quantum Cascade Laser";
Vortrag: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 20
- 21.
-
M. A. Kainz, M. Semtsiv, G. Tsianos, S. Kurlov, W. Masselink, S. Schönhuber, B. Limbacher, H. Detz, W. Schrenk, K. Unterrainer, G. Strasser, A. M. Andrews:
"Thermoelectrically Cooled Terahertz Quantum Cascade Laser";
Vortrag: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 22
- 23.
-
H Karaca, C. Fleury, S. Holland, H. Ritter, G. Notermans, D. Pogany:
"Mechanism of sequential finger triggering of multi-finger floating-base SCRs due to inherent substrate currents";
Vortrag: EOS/ESD Symposium 2019,
Riverside;
15.09.2019
- 20.09.2019; in: "Proc. EOS/ESD Symposium 2019",
(2019).
-
D. Kazakov, M. Piccardo, B. Schwarz, M Beiser, Y. Wang, M. Tamagnone, W. Chen, A. Zhu, A. Belyanin, F. Capasso:
"Frequency comb generation in ring injection lasers by defect engineering";
Vortrag: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 98
- 99.
-
H. Knötig, B. Hinkov, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Continuos-Wave Operation of Ring Interband Cascade Lasers";
Vortrag: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 106
- 107.
-
N Opacak, G. Strasser, B. Schwarz:
"Modelling the intra-cavity dynamics behind phase locking of quantum cascade laser frequency combs";
Vortrag: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 94
- 95.
-
F. Pilat, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, G. Strasser, B. Hinkov:
"QCLD-based lab-on-a-chip for μ-fluidic sensing";
Vortrag: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 120
- 121.
-
S. Schönhuber, B. Limbacher, M. A. Kainz, D Theiner, M. Jaidl, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, K. Unterrainer:
"Optical Tuning of Terahertz Quantum Cascade Random Lasers";
Poster: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 250
- 251.
-
B. Schwarz, J. Hillbrand, M Beiser, N Opacak, A. M. Andrews, H. Detz, G. Strasser, A. Schade, R. Weih, S. Höfling:
"Towards monolithic and battery driven mid-infrared dual-comb spectrometers";
Vortrag: ITQW 2019,
Ojai (eingeladen);
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 130
- 131.
-
M. Wenclawiak, B. Limbacher, C.G. Derntl, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Superradiant meta-atoms strongly coupled to intersubband transitions";
Poster: ITQW 2019,
Ojai;
15.09.2019
- 20.09.2019; in: "ITQW 2019",
(2019),
S. 252
- 253.
-
B. Limbacher, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, A. M. Andrews, G. Strasser, A. Schwaighofer, B. Lendl, J. Darmo, K. Unterrainer:
"Intersubband Polaritons in Triple Barrier Resonant Tunneling Diodes";
Vortrag: IRMMW-THz 2019,
Paris, France;
01.09.2019
- 06.09.2019; in: "Proceedings of the 44th International Conference on Infrared, Millimeter, and Terahertz Waves",
IEEE Computer Society,
2019-September
(2019),
ISBN: 978-153868285-2;
S. 1
- 2.
-
M. Wenclawiak, B. Limbacher, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Ultrastrong coupling experiments with superradiant meta-atoms";
Vortrag: IRMMW-THz 2019,
Paris, France;
01.09.2019
- 06.09.2019; in: "Proceedings of the 44th International Conference on Infrared, Millimeter, and Terahertz Waves",
IEEE Computer Society,
2019-September
(2019),
ISBN: 978-153868285-2;
S. 1
- 2.
-
H. Detz, S. Lancaster, H. Groiss, J. Zeininger, A. M. Andrews, W. Schrenk, G. Strasser:
"Elucidating the impact of B incorporation in GaAs through nanowire growth";
Poster: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
26.08.2019
- 30.08.2019; in: "Gemeinsame Jahrestagung in Zürich ÖPS, SPS",
(2019),
S. 54.
-
B. Hinkov, J. Hayden, R. Szedlak, P. Martin-Mateos, B Jerez, P. Acedo, G. Strasser, B. Lendl:
"Rf modulation of surface-emitting mid-IR ring DFB Quantum Cascade Lasers";
Vortrag: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
26.08.2019
- 30.08.2019; in: "Gemeinsame Jahrestagung in Zürich ÖPG, SPS",
(2019),
S. 53.
-
B. Hinkov, A. Jollivet, H.T. Hoang, S. Pirotta, M. Tchernycheva, R. Colombelli, M. Hugues, N. Le Biavan, M. Montesbajo, A. Hierro, J. Chauveau, G. Strasser, F.H. Julien:
"Optoelectronic devices based on non-polar ZnO/ZnMgO quantum wells";
Vortrag: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
26.08.2019
- 30.08.2019; in: "Gemeinsame Jahrestagung in Zürich ÖPG, SPS",
(2019),
S. 45.
-
M. A. Kainz, S. Schönhuber, C.G. Derntl, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"High performance THz Quantum Cascade Lasers";
Hauptvortrag: PHOTONICA 2019,
Belgrade, Serbia (eingeladen);
26.08.2019
- 30.08.2019; in: "Book of Abstracts: 7th International School and Conference on Photonics",
(2019),
S. 7.
-
H. Knötig, B. Hinkov, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Ring Interband Cascade Lasers Running in Contin-uous Mode Operation";
Vortrag: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
26.08.2019
- 30.08.2019; in: "Gemeinsame Jahrestagung in Zürich ÖPG, SPS",
(2019),
S. 45.
-
S. Lancaster, M. Schinnerl, A. M. Andrews, M. Sistani, A. Lugstein, W. Schrenk, G. Strasser, H. Detz:
"Optically active nanowires nucleated via a novel focused ion beam implantation method";
Vortrag: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
26.08.2019
- 30.08.2019; in: "Gemeinsame Jahrestagung in Zürich ÖPS, SPS",
(2019),
S. 42.
-
N Opacak, G. Strasser, B. Schwarz:
"Theoretical study of the intra-cavity dynamics behind phase locking of quantum cascade laser frequency combs";
Vortrag: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
26.08.2019
- 30.08.2019; in: "Gemeinsame Jahrestagung in Zürich ÖPS, SPS",
(2019),
S. 44.
-
F. Pilat, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, G. Strasser, B. Hinkov:
"µ-fluidic sensing with a quantum cascade lab-on-a-chip";
Vortrag: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
26.08.2019
- 30.08.2019; in: "Gemeinsame Jahrestagung in Zürich ÖPS, SPS",
(2019),
S. 43.
-
B. Schwarz, J. Hillbrand, M Beiser, N Opacak, A. M. Andrews, H. Detz, A. Schade, R. Weih, S. Höfling:
"Interband and quantum cascade laser frequency combs: From fundamentals towards monolithic spectrometers";
Vortrag: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
26.08.2019
- 30.08.2019; in: "Gemeinsame Jahrestagung in Zürich ÖPG, SPS",
(2019),
S. 44.
-
A. M. Andrews, M. A. Kainz, S. Schönhuber, B. Limbacher, H. Detz, M Beiser, M Giparakis, W. Schrenk, G. Strasser, G. Bastard, K. Unterrainer:
"Laser Level Selection in Terahertz Quantum Cascade Lasers";
Vortrag: IEEE Research and Applications of Photonics in Defense (RAPID),
Miramar Beach (eingeladen);
19.08.2019
- 21.08.2019; in: "Ieee Rapid 2019",
(2019),
Paper-Nr. TUB4.4,
3 S.
-
E. Gornik, J. Hillbrand, A. M. Andrews, R. Weih, S. Höfling, G. Strasser, B. Schwarz:
"Monolithic Frequency Comb Generation and High-speed Detection based on Interband Cascade Structures";
Vortrag: EDISON 21,
Nara;
14.07.2019
- 19.07.2019; in: "EDISON 21",
(2019),
S. 87.
-
B. Schwarz, J. Hillbrand, M Beiser, A. M. Andrews, G. Strasser, H. Detz, A. Schade, R. Weih, S. Höfling:
"Supplementary information - A monolithic mid-infrared frequency comb platform based on interband cascade lasers";
Vortrag: IEEE SUM 2019,
Ft. Lauderdale (eingeladen);
08.07.2019
- 10.07.2019; in: "IEEE Photonics Society Summer Topicals Meeting Series",
(2019).
Zusätzliche Informationen
-
J. Hillbrand, A. M. Andrews, H. Detz, G. Strasser, B. Schwarz:
"Coherent control of quantum cascade laser frequency combs via electrical locking";
Vortrag: CLEO/Europe-EQEC 2019,
München;
23.06.2019
- 27.06.2019; in: "CLEO/Europe-EQEC 2019",
(2019),
S. 187.
-
B. Hinkov, J. Hayden, R. Szedlak, F. Pilat, P. Martin-Mateos, B Jerez, P. Acedo, G. Strasser, B. Lendl:
"High frequency modulation characteristics of mid-infrared ring quantum cascade lasers";
Poster: CLEO/Europe-EQEC 2019,
München;
23.06.2019
- 27.06.2019; in: "CLEO/Europe-EQEC 2019",
(2019),
S. 132.
-
B. Hinkov, H.T. Hoang, D Winter, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Optoelectronic Devices based on ZnO/ZnMgO";
Poster: CLEO/Europe-EQEC 2019,
München;
23.06.2019
- 27.06.2019; in: "CLEO/Europe-EQEC 2019",
(2019),
S. 131.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Dual-lasing Channel of a High-Temperature Terahertz Quantum Cascade Laser";
Poster: CLEO/Europe-EQEC 2019,
München;
23.06.2019
- 27.06.2019; in: "CLEO/Europe-EQEC 2019",
IEEE,
(2019),
ISBN: 978-1-7281-0469-0;
S. 1
- 2.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Thermal Conductivity for Different Barrier Compositions of Terahertz Quantum Cascade Lasers";
Vortrag: CLEO/Europe-EQEC 2019,
München;
23.06.2019
- 27.06.2019; in: "CLEO/Europe-EQEC 2019",
IEEE,
(2019),
ISBN: 978-1-7281-0469-0;
S. 1.
-
H. Knötig, B. Hinkov, M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Ring Interband Cascade Lasers for Environmental Monitoring";
Vortrag: CLEO/Europe-EQEC 2019,
München;
23.06.2019
- 27.06.2019; in: "CLEO/Europe-EQEC 2019",
(2019),
S. 156.
-
B. Limbacher, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, A. M. Andrews, H. Detz, A. Schwaighofer, B. Lendl, G. Strasser, J. Darmo, K. Unterrainer:
"Investigation of Electrical Transport in Semiconductor Heterostructure Devices Coupled Strongly to the Light Field";
Poster: CLEO/Europe-EQEC 2019,
München;
23.06.2019
- 27.06.2019; in: "CLEO/Europe-EQEC 2019",
IEEE,
(2019),
ISBN: 978-1-7281-0469-0.
-
N Opacak, G. Strasser, B. Schwarz:
"Modelling the spatio-temporal dynamics of quantum cascade laser frequency combs";
Poster: CLEO/Europe-EQEC 2019,
München;
23.06.2019
- 27.06.2019; in: "CLEO/Europe-EQEC 2019",
(2019),
S. 132.
-
S. Schönhuber, B. Limbacher, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, K. Unterrainer:
"Optically Tunable Terahertz Quantum Cascade Random Lasers";
Vortrag: CLEO/Europe-EQEC 2019,
München;
23.06.2019
- 27.06.2019; in: "CLEO/Europe-EQEC 2019",
IEEE,
(2019),
ISBN: 978-1-7281-0469-0;
S. 1
- 2.
-
B. Schwarz, J. Hillbrand, M Beiser, A. M. Andrews, G. Strasser, H. Detz, A. Schade, R. Weih, S. Höfling:
"Interband Cascade Lasers for Monolithic and Battery Driven Dual-Comb Spectrometers";
Vortrag: CLEO/Europe-EQEC 2019,
Munich;
23.06.2019
- 27.06.2019; in: "CLEO/Europe-EQEC 2019",
(2019),
S. 216.
-
M. Wenclawiak, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Losses and Fundamental Interaction Properties of THz Meta-Atoms Strongly Coupled to Intersubband Transitions";
Vortrag: CLEO/Europe-EQEC 2019,
München;
23.06.2019
- 27.06.2019; in: "CLEO/Europe-EQEC 2019",
IEEE,
(2019),
ISBN: 978-1-7281-0469-0;
S. 1.
-
M.-H. Chien, M. Shawrav, H. D. Wanzenböck, S. Schmid:
"A Novel Platform for the Detection and Analysis of Plasmonic Nanostructures Based on Nanomechanical Resonator";
Poster: 16th International Workshop in Nanomechanical Sensors (NMC 2019),
Lausanne;
19.06.2019
- 21.06.2019; in: "16th International Workshop on Nanomechanical Sensors",
(2019),
S. 85.
-
M. Current, G. Hobler, Y. Kawasaki:
"Aspects of Highly-channeled MeV Implants of Dopants in Si(100)";
Vortrag: 19th International Workshop on Junction Technology 2019,
Kyoto, Japan (eingeladen);
06.06.2019
- 07.06.2019; in: "19th International Workshop on Junction Technology 2019",
(2019),
ISBN: 978-4-86348-728-4;
S. 40
- 45.
Zusätzliche Informationen
-
A.J. Molina- Mendoza, M. Paur, R. Bratschitsch, K. Watanabe, T. Taniguchi, T. Müller:
"Electroluminescence from multi-particle exciton complexes in monolayer WSe2";
Poster: EMRS Spring Meeting 2019,
Nice, France;
27.05.2019
- 31.05.2019; in: "International Conference on Advanced Materials",
(2019),
S. 1
- 2.
-
M.-H. Chien, M. Shawrav, H. D. Wanzenböck, S. Schmid:
"A Novel Platform for the Detection and Analysis of Plasmonic Nanostructures Based on Nanomechanical Resonator";
Poster: Conference on Lasers and Electro-Optics,
San Jose, California United States;
05.05.2019
- 10.05.2019; in: "CLEO: Science and Innovations 2019",
(2019),
ISBN: 978-1-943580-57-6;
S. 1
- 2.
Zusätzliche Informationen
-
M. Piccardo, P. Chevalier, B. Schwarz, D. Kazakov, Y. Wang, A. Belyanin, F. Capasso:
"Self-locked Adiabatic Lasers Solve a Global Optimization Problem";
Vortrag: CLEO USA 2019,
San Jose, California;
05.05.2019
- 10.05.2019; in: "Proceedings of Conference on Lasers and Electro-Optics",
(2019),
ISBN: 978-1-943580-57-6;
Paper-Nr. FM1D.3,
1 S.
Zusätzliche Informationen
-
M. Piccardo, D. Kazakov, B. Schwarz, P. Chevalier, A. Amirzhan, Y. Wang, F. Xie, K. Lascola, S. Becker, L. Hildebrandt, R. Weih, A. Belyanin, F. Capasso:
"Light and Microwaves in Laser Frequency Combs: An Interplay of Spatio-Temporal Phenomena";
Vortrag: CLEO USA 2019,
San Jose, California;
05.05.2019
- 10.05.2019; in: "Proceedings of Conference on Lasers and Electro-Optics",
(2019),
ISBN: 978-1-943580-57-6;
Paper-Nr. STh4H.3,
1 S.
Zusätzliche Informationen
-
B. Schwarz, J. Hillbrand, M Beiser, A. M. Andrews, G. Strasser, H. Detz, A. Schade, R. Weih, S. Höfling:
"Interband cascade laser frequency combs for monolithic and battery driven spectrometers";
Vortrag: CLEO USA 2019,
San Jose, California;
05.05.2019
- 10.05.2019; in: "Proceedings of Conference on Lasers and Electro-Optics",
(2019),
ISBN: 978-1-943580-57-6;
Paper-Nr. STu4O.6,
1 S.
Zusätzliche Informationen
-
D Theiner, S. Schönhuber, M. A. Kainz, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Quantum Cascade Laser Based Terahertz Imaging";
Vortrag: 10. THz - Young Scientists Meeting,
Frankfurt, Germany;
31.03.2019
- 01.04.2019; in: "Program of the 10. THz - Young Scientists Meeting",
(2019).
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Temperature Dependent Mode Switching of a High-Temperature THz Quantum Cascade Laser";
Poster: Optical Terahertz Science and Technology OTST- 2019,
Santa Fe, New Mexico, USA;
10.03.2019
- 15.03.2019; in: "International Conference on Optical Terahertz Science and Technology",
(2019),
Paper-Nr. We-P-03,
2 S.
-
B. Limbacher, M. Krall, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Intersubband Polaritonic Effects in Triple Barrier Resonant Tunneling Diodes";
Vortrag: Optical Terahertz Science and Technology OTST- 2019,
Santa Fe, New Mexico, USA;
10.03.2019
- 15.03.2019; in: "International Conference on Optical Terahertz Science and Technology",
(2019),
Paper-Nr. Th-A1-6,
1 S.
-
A. M. Andrews:
"Barrier heigth selection for high temperature THz quantum cascade lasers";
Vortrag: EURO-MBE Workshop,
Lenggries;
17.02.2019
- 20.02.2019; in: "Tagungsband Euro MBE 2019",
(2019).
-
N. Cazier, P. Sadeghi, M. Shawrav, A. Steiger-Thirsfeld, S. Schmid:
"Nanoelectromechanically modulated plasmonic nanoslit";
Poster: Frontiers of Nanomechanical Systems ( FNS/2019 ),
Palm Springs;
10.02.2019
- 14.02.2019; in: "Frontiers of Nanomechanical Systems (FNS/2019)",
(2019),
S. 65.
Zusätzliche Informationen
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, M. Pende, I. Sabdyusheva-Litschauer, M. Foroughipour:
"Fast nearly isotropic imaging of drosophilia and mouse brains by ultramicroscopy";
Vortrag: Photonics West 2019,
San Francisco;
02.02.2019
- 07.02.2019; in: "Proceedings of SPIE Vol. 10865",
(2019),
Paper-Nr. 10865-6,
1 S.
-
J. Hillbrand, H. Detz, A. M. Andrews, G. Strasser, B. Schwarz:
"Coherent control of QCL frequency combs for miniaturized dual-comb spectroscopy";
Vortrag: Photonics West 2019,
San Francisco;
02.02.2019
- 07.02.2019; in: "Proceedings of SPIE",
(2019),
Paper-Nr. 10926-86,
1 S.
-
J. Hillbrand, B. Schwarz, H. Detz, A. M. Andrews, G. Strasser:
"High-speed mid-infrared detectors";
Vortrag: Photonics West 2019,
San Francisco (eingeladen);
02.02.2019
- 07.02.2019; in: "Proceedings of SPIE",
(2019),
Paper-Nr. 10934-102,
1 S.
-
F.H. Julien, A. Jollivet, B. Hinkov, S. Pirotta, S. Derelle, J. Jaeck, M. Tchernycheva, R. Colombelli, A. Bousseksou, M. Hugues, N. Le Biavan, J. Tamayo-Arriola, M. Montes Bajo, G. Strasser, J. Chauveau, A. Hierro:
"Short infrared wavelength quantum cascade detectors based on m-plane ZnO/ZnMgO quantum wells";
Vortrag: Photonics West 2019,
San Francisco (eingeladen);
02.02.2019
- 07.02.2019; in: "Proceedings of SPIE",
(2019),
Paper-Nr. 10919-38,
1 S.
-
M. Piccardo, P. Chevalier, B. Schwarz, D. Kazakov, Y. Wang, A. Belyanin, F. Capasso:
"Self-locked quantum cascade lasers solve a global";
Vortrag: Photonics West 2019,
San Francisco (eingeladen);
02.02.2019
- 07.02.2019; in: "Proceedings of SPIE",
(2019),
Paper-Nr. 10939-41,
1 S.
-
M. Piccardo, M. Tamagnone, B. Schwarz, P. Chevalier, N. Rubin, Y. Wang, C. Wang, M. Connors, D. McNulty, F. Capasso:
"Laser radio transmitter";
Vortrag: Photonics West 2019,
San Francisco;
02.02.2019
- 07.02.2019; in: "Proceedings of SPIE",
(2019),
Paper-Nr. 10917-10,
1 S.
-
B. Schwarz, J. Hillbrand, M Beiser, A. Schade, H. Detz, A. M. Andrews, R. Weih, S. Höfling:
"Repulsive intermode beat synchronization in interband cascade laser frequency combs";
Vortrag: Photonics West 2019,
San Francisco;
02.02.2019
- 07.02.2019; in: "Proceedings of SPIE",
(2019),
Paper-Nr. 10939-45,
1 S.
-
G. Strasser, R. Szedlak, M. Holzbauer, B. Schwarz, B. Hinkov, H. Detz, A. M. Andrews, W. Schrenk:
"QCL and ICL ring laser";
Vortrag: Photonics West 2019,
San Francisco (eingeladen);
02.02.2019
- 07.02.2019; in: "Proceedings of SPIE",
(2019),
Paper-Nr. 10939-60,
1 S.
-
S. Schönhuber, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Quantum Cascade Lasers: High Performance Terahertz Sources";
Vortrag: 8th ESA Workshop,
Noordwijk, Niederlande;
10.12.2018
- 12.12.2018; in: "Proceedings of millimetre-wave technology and applications",
(2018),
S. 1
- 2.
-
M. Seifner, M. Sistani, P. Pertl, F. Porrati, I. Zivadinovic, A. Lugstein, M. Huth, S. Barth:
"Growth and Characterization of Hyperdoped Germanium Nanorods and Nanowires";
Vortrag: 2018 MRS Fall Meeting & Exhibit,
Boston;
25.11.2018
- 30.11.2018; in: "2018 MRS Fall Meeting",
(2018),
Paper-Nr. NM03.04.03,
1 S.
-
B. Hinkov, H. Knötig, M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Interband Cascade Lasers for Trace Gas Sensing";
Vortrag: International WORKshop on Infrared Technologies,
Olching, Deutschland;
12.11.2018
- 13.11.2018; in: "5th International WORKshop on Infrared Technologies",
(2018),
S. 11.
-
B. Schwarz, J. Hillbrand, M Beiser, A. Schade, H. Detz, A. M. Andrews, S. Höfling:
"Interband Cascade Laser Frequency Combs: A New Tool for Miniaturization";
Hauptvortrag: SCIX 2018,
Atlanta (eingeladen);
21.10.2018
- 26.10.2018; in: "SCIX 2018 - The great scientific exchange",
(2018).
-
A. M. Andrews, M. A. Kainz, H. Detz, W. Schrenk, S. Schönhuber, K. Unterrainer, G. Strasser:
"Barrier height tuning of LO-phonon resonance for THz quantum cascade lasers";
Vortrag: German MBE Workshop,
Freiburg;
11.10.2018
- 12.10.2018; in: "German MBE Workshop 2018 Abstract Booklet",
(2018),
S. 26.
-
N. Cazier, P. Sadeghi, M. Shawrav, A. Steiger-Thirsfeld, S. Schmid:
"Gap Plasmon Resonance in Electromagnetically-Actuated Nanomechanical Silicon Nitride Strings";
Vortrag: 44th International Conference on Micro and Nanoengineering (MNE),
Copenhagen;
24.09.2018
- 27.09.2018; in: "44th International Conference on Micro and Nanoengineering (MNE)",
(2018),
1 S.
Zusätzliche Informationen
-
M. Shawrav, M.-H. Chien, H. D. Wanzenböck, S. Schmid:
"Does gold purity affect plasmonic resonance? New route towards photothermal analysis of direct-write nanostructures";
Poster: 44th International Conference on Micro and Nanoengineering (MNE),
Copenhagen;
24.09.2018
- 27.09.2018; in: "44th International Conference on Micro and Nanoengineering (MNE)",
(2018),
1 S.
Zusätzliche Informationen
-
G. Notermans, H. Ritter, S. Holland, D. Pogany:
"Modeling dynamic overshoot in ESD protections";
Vortrag: Electrical overstress/Electrostatic discharge (EOS/ESD) Symposium,
Reno;
23.09.2018
- 28.09.2018; in: "Electrical overstress/Electrostatic discharge (EOS/ESD) Symposium",
(2018).
-
M. Current, G. Hobler, Y. Kawasaki, M. Sugitani:
"Channeled MeV B, P and As Profiles in Si(100): Monte-Carlo Models and SIMS";
Vortrag: International Conference on Ion Implantation Technology,
Würzburg;
16.09.2018
- 21.09.2018; in: "22nd International Conference on Ion Implantation Technology",
(2018),
S. 251
- 254.
-
G. Hobler, K. Nordlund, M. Current, W. Schustereder:
"Simulation Study of Al Channeling in 4H-SiC";
Vortrag: International Conference on Ion Implantation Technology,
Würzburg;
16.09.2018
- 21.09.2018; in: "22nd International Conference on Ion Implantation Technology",
(2018),
S. 247
- 250.
-
A. M. Andrews, M. A. Kainz, H. Detz, D. MacFarland, S. Schönhuber, W. Schrenk, K. Unterrainer, G. Strasser:
"Quantum Cascade Laser and Detector Material Systems";
Vortrag: 68. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Graz;
10.09.2018
- 13.09.2018; in: "68. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2018),
S. 34.
-
M Beiser, J. Hillbrand, H. Detz, A. M. Andrews, A. Schade, G. Strasser, S. Höfling, B. Schwarz:
"Mode-locked interband cascade lasers";
Vortrag: 68. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Graz;
10.09.2018
- 13.09.2018; in: "68. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2018),
S. 36
- 37.
-
B. Hinkov, H. Knötig, M Beiser, N Opacak, M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, G. Strasser:
"Surface emitting ring interband cascade lasers";
Vortrag: 68. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Graz;
10.09.2018
- 13.09.2018; in: "68. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2018),
S. 34
- 35.
-
H. Knötig, M. Holzbauer, B. Hinkov, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Ring interband cascade lasers for trace gas sensing";
Vortrag: FLAIR 2018,
Santa Maria degli Angeli;
10.09.2018
- 14.09.2018; in: "FLAIR 2018",
(2018),
S. 5.
-
N Opacak, M Beiser, J. Hillbrand, S. Dal Cin, H. Detz, A. M. Andrews, G. Strasser, B. Schwarz:
"QWIPs and QCDs for RF";
Vortrag: 68. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Graz;
10.09.2018
- 13.09.2018; in: "68. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2018),
S. 33.
-
S. Schuler, D. Schall, D. Neumaier, B. Schwarz, K. Watanabe, T. Taniguchi, T. Müller:
"Graphene photodetector based on a photonic crystal defect waveguide";
Vortrag: Graphene Week 2018,
San Sebastian, Spain;
10.09.2018
- 14.09.2018; in: "Book of Abstracts",
(2018),
S. 1
- 2.
-
G. Strasser:
"Applied Quantum Mechanics: Sensing with Monolithically Integrated Quantum Cascade Devices";
Vortrag: 68. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Graz (eingeladen);
10.09.2018
- 13.09.2018; in: "68. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2018),
S. 14.
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"A microprocessor based on a two-dimensional semiconductor";
Vortrag: Graphene Week 2018,
San Sebastian, Spain;
10.09.2018
- 14.09.2018; in: "Book of Abstracts",
(2018),
S. 1
- 2.
-
M. Shawrav, M.-H. Chien, P. Taus, H. D. Wanzenböck, S. Schmid:
"Photothermal analysis on direct-write nanostructures by nanomechanical scanning absorption microscopy";
Poster: 19th International Microscopy Congress IMC19,
Sydney;
09.09.2018
- 14.09.2018; in: "abstracts imc19",
(2018),
2 S.
Zusätzliche Informationen
-
H. D. Wanzenböck, M. Reichenpfader, M. Gavagnin, M. Shawrav, E. Bertagnolli:
"The scanning electron microscope as nanofactory -Direct-write deposition of nanomagnets";
Vortrag: 19th International Microscopy Congress IMC19,
Sydney;
09.09.2018
- 14.09.2018; in: "IMC19",
(2018),
2 S.
Zusätzliche Informationen
-
A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, B. Schwarz, M. A. Kainz, S. Schönhuber, J. Darmo, K. Unterrainer, G. Strasser:
"Materials Selection and Growth for Quantum Cascade Lasers and Detectors";
Vortrag: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
02.09.2018
- 07.09.2018; in: "Book of Abstracts",
(2018),
2 S.
-
M Beiser, J. Hillbrand, S. Dal Cin, H. Detz, A. M. Andrews, G. Strasser, B. Schwarz:
"QWIPs and QCDs for Mid-Infrared Frequency Combs";
Poster: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
02.09.2018
- 07.09.2018; in: "Book of Abstracts",
(2018),
2 S.
-
J. Hillbrand, P. Jouy, M. Beck, J. Faist:
"Tunable Dispersion Compensation of QCL Frequency Combs";
Vortrag: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
02.09.2018
- 07.09.2018; in: "Book of Abstracts",
(2018),
2 S.
-
B. Hinkov, J. Hayden, R. Szedlak, P. Martin-Mateos, P. Acedo, B. Lendl, G. Strasser:
"High-frequency modulation of thermal design of quantum cascade lasers";
Poster: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
02.09.2018
- 07.09.2018; in: "Book of Abstracts",
(2018),
2 S.
-
B. Hinkov, H.T. Hoang, D Winter, A. Alsalhi, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"ZnO-based Resonant Tunneling Diode Structures";
Vortrag: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
02.09.2018
- 07.09.2018; in: "Book of Abstracts",
(2018),
2 S.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"LO-phonon engineering for high temperature terahertz quantum cascade lasers";
Poster: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
02.09.2018
- 07.09.2018; in: "Book of Abstracts",
(2018),
Paper-Nr. 434,
3 S.
-
H. Knötig, M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, B. Hinkov, G. Strasser:
"Ring interband cascade laser arrays";
Poster: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
02.09.2018
- 07.09.2018; in: "Book of Abstracts",
(2018),
2 S.
-
B. Limbacher, M. Krall, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Strong Light-Matter Interaction in Triple Barrier Resonant Tunneling Diodes";
Poster: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
02.09.2018
- 07.09.2018; in: "Book of Abstracts",
(2018),
3 S.
-
B. Schwarz, J. Hillbrand, M Beiser, A. Schade, H. Detz, A. M. Andrews, S. Höfling:
"Frequency comb generation using actively mode-locked interband cascade lasers";
Vortrag: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
02.09.2018
- 07.09.2018; in: "Book of Abstracts",
(2018),
2 S.
-
A. M. Andrews:
"Tutorial for IEEE-RaPID 2018 on Quantum Cascade Lasers (QCL), Quantum Cascade Detectors (QCD), and Quantum Cascade Laser Detectors (QCLD)";
Vortrag: RAPID (Research and Applications of Photonics in Defense),
Miramar Beach, Florida, USA (eingeladen);
22.08.2018
- 24.08.2018; in: "RAPID 2018",
IEEE Photonics Society,
(2018),
S. 47.
-
B. Schwarz, J. Hillbrand, M Beiser, M. Piccardo, P. Chevalier, A. Schade, H. Detz, A. M. Andrews, G. Strasser, F. Capasso, S. Höfling:
"QCL and ICL frequency combs for miniaturized sensors";
Vortrag: SPIE Optics + Photonics 2018,
San Diego (eingeladen);
19.08.2018
- 23.08.2018; in: "SPIE Optics + Photonics",
(2018),
S. 196.
-
G. Strasser, B. Schwarz, B. Hinkov, R. Szedlak, H. Detz, A. M. Andrews, W. Schrenk:
"On-chip and remote sensing with quantum cascade laser and detector systems";
Vortrag: SPIE Optics + Photonics 2018,
San Diego (eingeladen);
19.08.2018
- 23.08.2018; in: "SPIE Optics + Photonics",
(2018),
S. 90.
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, M. Pende, I. Sabdyusheva-Litschauer, M. Foroughipour:
"Fast nearly isotropic imaging of large samples with light sheets beyond the diffraction limit";
Vortrag: Light Sheet Fluorescence Microscopy Conference,
Leipzig;
12.08.2018
- 15.08.2018; in: "10th Anniversary Light Sheet Fluorescence Microscopy Conference",
(2018),
S. 8.
-
J. Hillbrand, M Beiser, A. Schade, H. Detz, A. M. Andrews, G. Strasser, S. Höfling, B. Schwarz:
"Mid-Infrared Frequency Comb based on Actively Modelocked Interband Cascade Laser";
Vortrag: ICPS 2018,
Montpellier, France;
29.07.2018
- 03.08.2018; in: "Proceedings of the 34th International Conference on the Physics of Semiconductors",
(2018),
S. 37.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"LO-phonon engineering of terahertz quantum cascade lasers";
Poster: ICPS 2018,
Montpellier, France;
29.07.2018
- 03.08.2018; in: "Proceedings of the 34th International Conference on the Physics of Semiconductors",
(2018),
Paper-Nr. P1-033,
1 S.
-
S. Lancaster, A. M. Andrews, D. Critterberg, D. MacFarland, W. Schrenk, G. Strasser, H. Detz:
"Optical investigation of surface effects in InGaAs/GaAs radially heterostructured nanowires";
Poster: ICPS 2018,
Montpellier, France;
29.07.2018
- 03.08.2018; in: "International Conference on the Physics of Semiconductors",
(2018),
S. 81.
-
G. Strasser, H. Detz, D. MacFarland, M. Potocek, S. Lancaster, T. Zederbauer, A. M. Andrews, W. Schrenk:
"Incorporation of B into BGaAs layers for strain engineering";
Poster: ICPS 2018,
Montpellier, France;
29.07.2018
- 03.08.2018; in: "Proceedings of the 34th International Conference on the Physics of Semiconductors",
(2018),
S. 73.
-
M. Wenclawiak, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Meta-atom interactions in strongly coupled systems";
Poster: ICPS 2018,
Montpellier, France;
29.07.2018
- 03.08.2018; in: "Proceedings of the 34th International Conference on the Physics of Semiconductors",
(2018),
Paper-Nr. P1_032,
1 S.
-
S. Lancaster, H. Groiss, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Structural and electrical properties of BGaAs nanowires";
Vortrag: International Conference on Nanoscience and Technology (ICNT),
BVV Trade Fairs Brno;
22.07.2018
- 27.07.2018; in: "Programme Brochure 2018 International Conference on Nanoscience + Technology (ICNT)",
(2018),
S. 111
- 112.
-
B. Limbacher, M. Krall, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Strong Light-Matter Interaction in Triple-Barrier Resonant Tunneling Diodes";
Vortrag: 2Dnano 2018,
Erice, Italy;
14.07.2018
- 20.07.2018; in: "Proceedings of Frontiers of photonics, plasmonics and electronics with 2D nanosytems",
(2018),
Paper-Nr. 431,
2 S.
-
M. Wenclawiak, C.G. Derntl, S. Schönhuber, B. Limbacher, M. A. Kainz, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Dynamics and non-linear effects of passive and active THz metamaterials";
Vortrag: 2Dnano 2018,
Erice, Italy (eingeladen);
14.07.2018
- 20.07.2018; in: "Proceedings of Frontiers of photonics, plasmonics and electronics with 2D nanosytems",
(2018),
1 S.
-
M. Holzbauer, R. Weih, S. Höfling, W. Schrenk, J. Koeth, E. Gornik, G. Strasser:
"Interband Cascade Ring Lasers";
Vortrag: The 2nd International Workshop on Magnetic Excitations in Semiconductors: Bridges to the Next Decade,
Buffalo (eingeladen);
13.07.2018
- 15.07.2018; in: "The 2nd International Workshop on Magnetic Excitations in Semiconductors: Bridges to the Next Decade",
(2018),
S. 41.
-
G. Strasser:
"Monolithic Quantum Cascade Sensor Systems";
Vortrag: The 2nd International Workshop on Magnetic Excitations in Semiconductors: Bridges to the Next Decade,
Buffalo (eingeladen);
13.07.2018
- 15.07.2018; in: "The 2nd International Workshop on Magnetic Excitations in Semiconductors: Bridges to the Next Decade",
(2018),
S. 34.
-
M. Shawrav, M.-H. Chien, S. Schmid, H. D. Wanzenböck:
"Impact of purity and conductivity on plasmonic response of FEBID gold nanostructures";
Vortrag: Focused Electron Beam Induced Processing Workshop (FEBIP),
Modena;
10.07.2018
- 13.07.2018; in: "Book of Abstracts",
(2018),
S. 26
- 27.
Zusätzliche Informationen
-
H. D. Wanzenböck, M. Reichenpfader, E. Bertagnolli, M. Shawrav, M. Stöger-Pollach:
"Magnetic Nanorings made by FEBID";
Poster: Focused Electron Beam Induced Processing Workshop (FEBIP),
Modena;
10.07.2018
- 13.07.2018; in: "Book of Abstract",
(2018),
S. 109
- 110.
Zusätzliche Informationen
-
B. Hinkov, B. Schwarz, R. Szedlak, M. Holzbauer, A. Harrer, H. Detz, A. M. Andrews, G. Strasser:
"Mid-infrared Photonics based on Quantum Cascade Lasers and Detectors";
Vortrag: 15th International Conference on Nanosciences & Nanotechnologies,
Thessaloniki (eingeladen);
03.07.2018
- 06.07.2018; in: "15th International Conference on Nanosciences & Nanotechnologies",
(2018),
S. 38.
-
S. Schuler, D. Schall, D. Neumaier, L. Dobusch, O. Bethge, B. Schwarz, M. Krall, T. Müller:
"Two-dimensional carbon for next generation communication systems";
Vortrag: 3rd Erwin Schrödinger Symposium 2018,
Dornbirn, Austria (eingeladen);
02.07.2018
- 04.07.2018; in: "Progress in International Nanoscience",
(2018).
-
S. Schuler, D. Schall, D. Neumaier, B. Schwarz, K. Watanabe, T. Taniguchi, T. Müller:
"Improved graphene-based photodection realized on a photonic crystal defect waveguide";
Vortrag: Graphene 2018,
Dresden, Germany;
26.06.2018
- 29.06.2018; in: "Abstracts of the 8th edition of the largest European Event in Graphene and 2D Materials",
(2018).
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"A microprocessor based on a two-dimensional semiconductor";
Poster: Graphene 2018,
Dresden, Germany;
26.06.2018
- 29.06.2018; in: "Abstracts of the 8th edition of the largest European Event in Graphene and 2D Materials",
(2018).
-
S. Lancaster, H. Groiss, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Effect of boron addition during GaAs nanowire growth on morphology and electrical properties";
Poster: European Materials Research Society (EMRS),
Straßbourg Convention Centre;
18.06.2018
- 22.06.2018; in: "EMRS Spring Meeting 2018",
(2018),
S. K11.
-
M. Sistani, M. Bartmann, P. Staudinger, F. Brunbauer, S. Kral, M. Luong, M. den Hertog, E. Robin, E. Bertagnolli:
"Carrier Transport and Photonics in Monolithic Al-Ge-Al Nanowire Heterostructures";
Vortrag: EMRS Spring Meeting 2018,
Straßburg (eingeladen);
18.06.2018
- 22.06.2018; in: "Web Tagungsband EMRS Spring 2018",
(2018),
Paper-Nr. G.4.1,
1 S.
-
M. Bartmann, M. Sistani, S. Glassner, B Steinbauer, E. Bertagnolli, J. Smoliner:
"Strain Induced Band-Gap Modification of Ge nanowires";
Vortrag: Nanowire Week 2018,
Hamilton;
11.06.2018
- 15.06.2018; in: "Nanowire Week 2018",
(2018).
-
M. Sistani, P. Staudinger, E. Bertagnolli, A. Lugstein:
"Ultra-scaled Quantum Ballistic Ge Nanowire Photodetector";
Vortrag: Nanowire Week 2018,
Hamilton;
11.06.2018
- 15.06.2018; in: "Nanowire Week 2018",
(2018).
-
H. Detz, M. A. Kainz, S. Schönhuber, T. Zederbauer, D. MacFarland, M. Krall, C. Deutsch, M. Brandstetter, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Evolution of Material Systems for THz Quantum Cascade Lasers";
Vortrag: Compound Semiconductor Week (CSW),
Cambridge;
29.05.2018
- 01.06.2018; in: "CSW2018",
(2018),
S. 115.
-
Z. Gökdeniz, G. Khatibi, T. Walter, J. Nicolics:
"Temperature Dependent Mechanical Properties of Sintered Silver-Copper Joints";
Vortrag: 41st International Spring Seminar on Electronics Technology (ISSE),
Zlatibor;
16.05.2018
- 20.05.2018; in: "Book of Abstracts ISSE 2018",
IEEE,
(2018),
ISBN: 978-1-5386-5731-7;
S. 57
- 58.
-
M. Holzbauer, B. Hinkov, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, E. Gornik, J. Koeth, G. Strasser:
"Ring Interband Cascade Lasers";
Vortrag: CLEO - Laser Science to Photonic Applications,
San José, California;
13.05.2018
- 18.05.2018; in: "CLEO Conference Program",
(2018),
S. 227.
Zusätzliche Informationen
-
M. Piccardo, P. Chevalier, B. Schwarz, Y. Wang, D. Kazakov, N. Rubin, S. Anand, E. Mejia, M. Tamagnone, F. Xie, K. Lascola, A. Belyanin, F. Capasso:
"Shaping harmonic frequency combs in quantum cascade lasers";
Vortrag: CLEO 2018,
San Jose, USA;
13.05.2018
- 18.05.2018; in: "Proceedings: Conference on Lasers and Electro- Optics",
(2018),
ISBN: 978-1-943580-42-2;
Paper-Nr. FW3E.6,
1 S.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Conduction band offset engineering for high temperature THz quantum cascade lasers";
Poster: EOS 2018,
Berlin, Germany;
06.05.2018
- 09.05.2018; in: "Proceedings: Topical Meeting on Terahertz Science & Technology",
(2018),
ISBN: 978-952-68553-5-6;
S. 1
- 2.
-
B. Limbacher, M. Krall, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Intersubband Polaritons in Triple Barrier Resonant Tunneling Diodes";
Poster: EOS 2018,
Berlin, Germany;
06.05.2018
- 09.05.2018; in: "Proceedings: Topical Meeting on Terahertz Science & Technology",
(2018),
ISBN: 978-952-68553-5-6;
S. 1
- 2.
-
S. Schönhuber, M. Wenclawiak, B. Limbacher, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Time Domain Spectroscopy on Periodic and Random Structures";
Poster: EOS 2018,
Berlin, Germany;
06.05.2018
- 09.05.2018; in: "Proceedings: Topical Meeting on Terahertz Science & Technology",
(2018),
ISBN: 978-952-68553-5-6;
S. 1
- 3.
-
M. Wenclawiak, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Strong coupling with planar meta-atoms A matter of volume?";
Poster: EOS 2018,
Berlin, Germany;
06.05.2018
- 09.05.2018; in: "Proceedings: Topical Meeting on Terahertz Science & Technology",
(2018),
ISBN: 978-952-68553-5-6;
S. 1.
-
N. Cazier, P. Sadeghi, M. Shawrav, A. Steiger-Thirsfeld, S. Schmid:
"Gap plasmon resonance in electromagnetically-actuated nanomechanical silicon nitride strings";
Poster: Microelectronic Systems Symposium (MESS18),
Wien;
12.04.2018
- 13.04.2018; in: "MESS 18 Microelectronic Systems Symposium",
OVE,
Band 91
(2018),
978-3-903249-01-0;
1 S.
Zusätzliche Informationen
-
M. Shawrav, M.-H. Chien, H. D. Wanzenböck, E. Bertagnolli, S. Schmid:
"Novel fabrication and characterization approach for plasmonic nanostructures";
Poster: Microelectronic Systems Symposium (MESS18),
Vienna;
12.04.2018
- 13.04.2018; in: "MESS 18 Microelectronic Systems Symposium",
OVE,
91
(2018),
ISBN: 978-3-903249-01-1;
1 S.
Zusätzliche Informationen
-
J. Hillbrand, S. Dal Cin, B. Schwarz, M Beiser, A. M. Andrews, G. Strasser:
"RF QWIPs for Characterization of mid-IR Frequency Combs";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
25.02.2018
- 02.03.2018; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
S. 156
- 157.
-
B. Hinkov, A. Lardschneider, A. Alsalhi, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"ZnO-based Resonant Tunneling Diodes for Quantum Cascade Structures";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
25.02.2018
- 02.03.2018; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
S. 160
- 161.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, D. MacFarland, G. Strasser, K. Unterrainer:
"Variation of barrier height for high temperature terahertz quantum cascade lasers";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
25.02.2018
- 02.03.2018; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
S. 1
- 2.
-
H. Knötig, M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, B. Hinkov, G. Strasser:
"Ring interband cascade lasers for spectroscopic applications";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
25.02.2018
- 02.03.2018; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
S. 158.
-
S. Lancaster, H. Groiss, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Electrical properties of GaAs/BGaAs nanowires";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
25.02.2018
- 02.03.2018; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
S. 242
- 243.
-
B. Limbacher, M. Krall, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Intersubband polaritons in modified triple barrier resonant tunneling diodes";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
25.02.2018
- 02.03.2018; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
S. 1
- 2.
-
S. Schönhuber, M. A. Kainz, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Direct InGaAs semiconductor waferbonding for improved active regions";
Poster: 20th International Winterschool Mauterndorf 2018,
Mauterndorf;
25.02.2018
- 02.03.2018; in: "20th International Winterschool Mauterndorf",
(2018),
S. 1
- 3.
-
S. Schuler, D. Schall, D. Neumaier, B. Schwarz, K. Watanabe, T. Taniguchi, T. Müller:
"Enhanced response from a graphene photodetector based on a photonic crystal defect waveguide";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
25.02.2018
- 02.03.2018; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
S. 1
- 2.
-
B. Schwarz:
"Accurate modeling of interband cascade lasers";
Vortrag: 20th International Winterschool Mauterndorf 2018,
Mauterndorf;
25.02.2018
- 02.03.2018; in: "20th International Winterschool Mauterndorf",
(2018),
S. 47.
-
R. Szedlak, A. M. Andrews, M. Holzbauer, A. Harrer, B. Schwarz, D. MacFarland, T. Zederbauer, H. Detz, W. Schrenk, G. Strasser:
"Spectroscopic Sensing with Ring Quantum Cascade Lasers";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
25.02.2018
- 02.03.2018; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
S. 118
- 119.
-
D Theiner, S. Schönhuber, M. A. Kainz, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Three-Dimensional Terahertz Tomography using Quantum Cascade Lasers";
Poster: 20th International Winterschool Mauterndorf 2018,
Mauterndorf;
25.02.2018
- 02.03.2018; in: "20th International Winterschool Mauterndorf",
(2018),
S. 124
- 125.
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"A microprocessor based on a two-dimensional semiconductor";
Poster: 20th International Winterschool Mauterndorf 2018,
Mauterndorf;
25.02.2018
- 02.03.2018; in: "20th International Winterschool Mauterndorf",
(2018),
S. 1
- 2.
-
M. Wenclawiak, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Strong coupling in varying meta-atom geometries";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
25.02.2018
- 02.03.2018; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
S. 1
- 2.
-
B. Busetti, B. Lutzer, J. Stampfl:
"Development of a hybrid exposure system for lithography-based additive manufacturing technologies";
Vortrag: SPIE Photonics West - LASE,
San Francisco;
27.01.2018
- 01.02.2018; in: "SPIE Proceedings Vol. 10523: Laser 3D Manufacturing V",
SPIE Digital Library,
1052305
(2018),
ISBN: 9781510615311;
S. 1
- 13.
Zusätzliche Informationen
-
J. Chauveau, N. Le Biavan, A. Jollivet, B. Hinkov, B. Meng, D. Lefebvre, Y. Cordier, F.H. Julien, A. Hierro, G. Strasser, J. Faist:
"Homoepitaxy of non-polar ZnO/(Zn,Mg)O mulit-quantum wells: from a precise growth control to the observation of intersubband transitions";
Vortrag: Photonics West 2018,
San Francisco (eingeladen);
27.01.2018
- 01.02.2018; in: "Conference Proceedings",
(2018),
S. 311.
-
M. A. Kainz, S. Schönhuber, D. Bachmann, C.G. Derntl, M. Rösch, M. Beck, G. Scalari, J. Faist, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"High-performance and dynamics of THz quantum cascade lasers";
Vortrag: Photonics West 2018,
San Francisco (eingeladen);
27.01.2018
- 01.02.2018; in: "Conference Proceedings",
(2018),
S. 378.
-
B. Schwarz, C. Wang, L. Missaggia, P. Chevalier, T. Mansuripur, M. Connors, D. McNulty, J. Cederberg, G. Strasser, F. Capasso:
"Highly efficient frequency combs made from bi-funcional quantum cascade laser/detector material";
Vortrag: Photonics West 2018,
San Francisco (eingeladen);
27.01.2018
- 01.02.2018; in: "Conference Proceedings",
(2018),
S. 378.
-
B. Schwarz, C. Wang, L. Missaggia, P. Chevalier, T. Mansuripur, M. Connors, D. McNulty, J. Cederberg, G. Strasser, F. Capasso:
"Highly efficient frequency combs made from bi-functional";
Vortrag: SPIE Photonics West 2018,
San Francisco (eingeladen);
27.01.2018
- 01.02.2018; in: "Proceedings of SPIE Volume 10553",
SPIE,
10553
(2018),
ISBN: 9781510615571;
Paper-Nr. 10553-43,
1 S.
-
G. Strasser, B. Schwarz, A. Harrer, R. Szedlak, P. Reininger, T. Zederbauer, H. Detz, D. MacFarland, A. M. Andrews:
"Monolithic integration of quantum cascade lasers and detectors";
Vortrag: Photonics West 2018,
San Francisco (eingeladen);
27.01.2018
- 01.02.2018; in: "Proc. SPIE 10536, Smart Photonic and Optoelectronic Integrated Circuits XX",
1053604
(2018),
S. 321.
Zusätzliche Informationen
-
C Koller, G. Pobegen, C. Ostermaier, D. Pogany:
"Evidence of defect band in carbon-doped GaN controlling leakage current and trapping dynamics";
Vortrag: IEEE International Electron Devices Meeting (IEDM),
San Francisco;
02.12.2017
- 06.12.2017; in: "Proceedings 2017 IEEE International Electron Devices Meeting",
(2017),
S. 753
- 756.
-
M. Shawrav, M.-H. Chien, H. D. Wanzenböck, E. Bertagnolli, S. Schmid:
"Analysis of direct-write gold nanostructure-purification with nanomechanical scanning absorption microscopy";
Vortrag: nanoFIS 2017 - 3rd International Conference Functional Integrated nano Systems,
Graz;
22.11.2017
- 24.11.2017; in: "nanoFIS 2017",
(2017),
S. 1.
Zusätzliche Informationen
-
G. Strasser:
"Monolithic Integration of a Quantum Cascade System";
Vortrag: nanoFIS 2017 - 3rd International Conference Functional Integrated nano Systems,
Graz (eingeladen);
22.11.2017
- 24.11.2017; in: "nanoFIS 2017",
(2017).
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, J.P. Waclawek, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"On-Chip Quantum Cascade Laser/Detector System for Remote Gas Sensing";
Vortrag: SCIX 2017,
Reno (eingeladen);
08.10.2017
- 13.10.2017; in: "SCIX Conference",
(2017),
S. 56.
-
M Beiser, B. Schwarz, M. Holzbauer, H. Detz, A. M. Andrews, G. Strasser:
"Bi-functional Quantum Cascade Detectors/Lasers";
Poster: Austrian MBE Workshop 2017,
Wien;
28.09.2017
- 29.09.2017; in: "Austrian MBE Workshop",
(2017),
S. 56.
-
B. Hinkov, A. Alsalhi, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"ZnO-based Resonant Tunneling Diodes for Quantum Cascade Structures";
Poster: Austrian MBE Workshop 2017,
Wien;
28.09.2017
- 29.09.2017; in: "Austrian MBE Workshop",
(2017),
S. 70.
-
M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, G. Strasser:
"The polarization of ring interband cascade lasers";
Poster: Austrian MBE Workshop 2017,
Wien;
28.09.2017
- 29.09.2017; in: "Austrian MBE Workshop",
(2017),
S. 57.
-
M. A. Kainz, C. Deutsch, M. Krall, S. Schönhuber, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Asymmetry study for high performance InGaAs/InAlAs terahertz quantum cascade lasers";
Poster: Austrian MBE Workshop 2017,
Wien;
28.09.2017
- 29.09.2017; in: "Austrian MBE Workshop",
(2017),
S. 59.
-
S. Lancaster, H. Groiss, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Influence of boron incorporation in GaAs nanowires grown by self-catalysed MBE";
Poster: Austrian MBE Workshop 2017,
Wien;
28.09.2017
- 29.09.2017; in: "Austrian MBE Workshop",
(2017),
S. 55.
-
B. Limbacher, M. Krall, M. Brandstetter, C. Deutsch, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Inverse Bandstructure Engineering of Alternative Barrier Materials for InGaAs-based Terahertz Quantum Cascade Lasers";
Poster: Austrian MBE Workshop 2017,
Wien;
28.09.2017
- 29.09.2017; in: "Austrian MBE Workshop",
(2017),
S. 61.
-
D.K Polyushkin, S. Wachter, O. Bethge, T. Müller:
"CVD growth of atomically thin MoS2 films for digital electronics";
Poster: Austrian MBE Workshop 2017,
Wien;
28.09.2017
- 29.09.2017; in: "Austrian MBE Workshop",
(2017),
S. 52.
-
L. Prochaska, D. MacFarland, A. M. Andrews, M. Bonta, H. Detz, W. Schrenk, E. Bianco, G. Strasser, A. Limbeck, E Ringe, S. Paschen:
"Epitaxial YbRh2Si2 films grown by molecular beam epitaxy";
Vortrag: Austrian MBE Workshop 2017,
Wien;
28.09.2017
- 29.09.2017; in: "Austrian MBE Workshop",
(2017),
S. 18.
-
S. Schönhuber, M. Brandstetter, C. Deutsch, M. Krall, M. A. Kainz, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High Power THz Quantum Cascade Lasers";
Poster: Austrian MBE Workshop 2017,
Wien;
28.09.2017
- 29.09.2017; in: "Austrian MBE Workshop",
(2017),
S. 60.
-
B. Schwarz, P. Reininger, A. Harrer, D. MacFarland, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Single Period Quantum Cascade Detector";
Poster: Austrian MBE Workshop 2017,
Wien;
28.09.2017
- 29.09.2017; in: "Austrian MBE Workshop",
(2017),
S. 58.
-
R. Szedlak, M. Holzbauer, B. Schwarz, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Quantum Cascade Lasers: Versatile Light Emission and Applications in Spectroscopic Sensing";
Poster: Austrian MBE Workshop 2017,
Wien;
28.09.2017
- 29.09.2017; in: "Austrian MBE Workshop",
(2017),
S. 53.
-
S. Lancaster, D. MacFarland, M. Capriotti, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"InGaAS/GaAs multi-quantum-well core-shell nanowires for optoelectronic applications";
Vortrag: International Conference on Micro- and Nano Engineering (MNE),
Braga;
18.09.2017
- 22.09.2017; in: "43rd International Conference on Micro and Nanoengineering",
(2017),
S. 137.
-
M. Shawrav, M.-H. Chien, P. Taus, H. D. Wanzenböck, E. Bertagnolli, S. Schmid:
"Photothermal analysis of direct-write purified gold nanostructures with nanomechanical resonator";
Vortrag: 43rd International Conference on Micro and Nanoengineering (MNE),
Braga, Portugal;
18.09.2017
- 22.09.2017; in: "43rd International Conference on Micro and Nanoengineering (MNE)",
(2017),
1 S.
Zusätzliche Informationen
-
M. Shawrav, M.-H. Chien, P. Taus, E. Bertagnolli, H. D. Wanzenböck, S. Schmid:
"An overview of in - situ and ex - situ purification strategies for FEBID gold nanostructures";
Vortrag: CELINA,
Porto, Portugal (eingeladen);
16.09.2017; in: "4th annual meeting of the Chemistry for Electron-Induced Nanofabrication (CELINA), COST Action CM1301",
(2017),
S. 29.
Zusätzliche Informationen
-
N. Cazier, P. Sadeghi, M. Shawrav, A. Steiger-Thirsfeld, S. Schmid:
"Gap plasmon resonance in electromagnetically-actuated nanomechanicalsilicon nitride strings";
Vortrag: Nanophotonics and Micro/Nano Optics International Conference 2017,
Barcelona;
13.09.2017
- 15.09.2017; in: "Nanophotonics and Micro/Nano Optics International Conference 2017 Book of Abstracts",
(2017),
S. 62.
Zusätzliche Informationen
-
M.-H. Chien, M. Shawrav, H. D. Wanzenböck, S. Schmid:
"Nanomechanical membrane resonator as a novel platform for the detection and analysis of FEBID plasmonic nanostructures";
Vortrag: Nanophotonics and Micro/Nano Optics International Conference 2017,
Barcelona;
13.09.2017
- 15.09.2017; in: "Nanophotonics and Micro/Nano Optics International Conference 2017 Book of Abstracts",
(2017),
S. 238.
Zusätzliche Informationen
-
C. Hahn, K. Becker, M. Pende, S. Saghafi, I. Sabdyusheva-Litschauer, H. Dodt:
"Optical tissue clearing using stabilized organic media allows bleaching- free deep-tissue imaging of fluorescent whole mouse brain";
Poster: Molecular and Cellular Mechanisms of Neural Circuit Assembly,
Klosterneuburg;
11.09.2017
- 14.09.2017; in: "AXON 2017 Program and Abstracts",
(2017),
S. 47.
-
M. Holzbauer, B. Hinkov, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, E. Gornik, J. Koeth, G. Strasser:
"Ring cavity interband cascade lasers";
Vortrag: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore;
10.09.2017
- 15.09.2017; in: "ITQW2017",
(2017).
-
M. A. Kainz, C. Deutsch, M. Krall, M. Brandstetter, D. Bachmann, S. Schönhuber, H. Detz, D. MacFarland, A. M. Andrews, G. Strasser, K. Unterrainer:
"Compensation of asymmetries for high-performance InGaAs/InAlAs terahertz quantum cascade lasers";
Vortrag: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore;
10.09.2017
- 15.09.2017; in: "ITQW2017",
(2017).
-
S. Schönhuber, M. Brandstetter, M. Krall, M. A. Kainz, H. Detz, T. Zederbauer, A. M. Andrews, G. Strasser, K. Unterrainer:
"Frequency resolved far field analysis of terahertz quantum cascade lasers";
Poster: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore;
10.09.2017
- 15.09.2017; in: "ITQW2017",
(2017).
-
B. Schwarz, C. Wang, L. Missaggia, T. Mansuripur, P. Chevalier, M. Connors, D. McNulty, J. Cederberg, G. Strasser, F. Capasso:
"High power frequency comb based on a bi-functional QCLD";
Vortrag: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore;
10.09.2017
- 15.09.2017; in: "ITQW2017",
(2017).
-
G. Strasser:
"Quantum Cascade Material Systems: Growth and Processing";
Vortrag: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore (eingeladen);
10.09.2017
- 15.09.2017; in: "ITQW2017",
(2017).
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, J.P. Waclawek, E. Tütüncü, V. Kokoric, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Mizaikoff, B. Lendl, G. Strasser:
"Commutable Monolithic QC Laser/Detector System for Remote Sensing";
Vortrag: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore;
10.09.2017
- 15.09.2017; in: "ITQW2017",
(2017).
-
D. Bachmann, M. Rösch, M. A. Kainz, S. Schönhuber, M. Brandstetter, G. Scalari, M. Beck, J. Faist, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Short pulse generation and high power emission of Quantum Cascade lasers";
Vortrag: 42nd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz),
Cancun, Mexiko (eingeladen);
27.08.2017
- 01.09.2017; in: "Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), 2017 42nd International Conference",
IEEE,
(2017),
ISBN: 978-1-5090-6050-4;
S. 1
- 2.
-
B. Hinkov, B. Schwarz, R. Szedlak, M. Holzbauer, A. Harrer, H. Detz, A. M. Andrews, G. Strasser:
"Mid-infrared Quantum Cascade Lasers for spectroscopic applications";
Vortrag: Gemeinsame Jahrestagung SPS und ÖPG 2017,
Genf;
21.08.2017
- 25.08.2017; in: "Tagungsband Gemeinsame Jahrestagung in Genf",
(2017),
S. 55.
-
X Zhang, V. Mitin, A. Sergeev, K. Sablon, M. Yakimov, S. Oktyabrsky, J. Choi, G. Strasser:
"Nanoscale engineering of photoelectron processes in quantum well and dot structures for sensing and energy conversion";
Vortrag: EDISON 20,
Buffalo;
16.07.2017
- 21.07.2017; in: "Journal of Physics: Conference Series",
IOP Publishing Ltd.,
906
(2017),
S. 1
- 4.
Zusätzliche Informationen
-
M. Shawrav, N. Cazier, S. Waid, M. Schinnerl, H. D. Wanzenböck, S. Schmid:
"FIB investigations on FEBID based gold nanostructures";
Poster: 1st European FIB Network Workshop,
Graz, Austria;
04.07.2017
- 05.07.2017; in: "1st European FIB Network Workshop",
(2017),
S. 107
- 108.
Zusätzliche Informationen
-
G. Hobler, D. Maciazek, Z. Postawa, R.M. Bradley:
"Crater function moments: The influence of implanted noble gas atoms";
Vortrag: International Workshop on Nanoscale Pattern Formation at Surfaces,
Helsinki;
26.06.2017
- 30.06.2017; in: "Book of Abstracts",
(2017),
S. 33.
-
B. Schwarz:
"QCL technology for future applications: from on-chip detection to frequency comb generation";
Vortrag: 25th International Symposium on Nanostructures: Physics and Technology,
Sankt Petersburg (eingeladen);
26.06.2017
- 30.06.2017; in: "Proceedings 25th Internat. Symposium Nanostructures: Physics and Technology",
(2017),
Paper-Nr. LOED.05i,
1 S.
-
M. A. Kainz, C. Deutsch, M. Krall, M. Brandstetter, D. Bachmann, S. Schönhuber, H. Detz, D. MacFarland, A. M. Andrews, G. Strasser, K. Unterrainer:
"Low Effective Electron Mass InGaAs/InAlAs for High Power Terahertz Quantum Cascade Lasers";
Vortrag: CLEO Europe,
München, Deutschalnd;
25.06.2017
- 29.06.2017; in: "Abstracts of the Conference on Lasers and the Electro-Optics Europe & European Quantum Electronics Conference",
(2017),
ISBN: 978-1-5090-6736-7;
Paper-Nr. CC-3.4 SUN,
1 S.
-
M. Krall, B. Limbacher, M. A. Kainz, M. Brandstetter, C. Deutsch, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Inverse Bandstructure Engineering of Alternative Barrier Materials for InGaAs-based Terahertz Quantum Cascade Lasers";
Poster: CLEO Europe,
München, Deutschalnd;
25.06.2017
- 29.06.2017; in: "Abstracts of the Conference on Lasers and the Electro-Optics Europe & European Quantum Electronics Conference",
(2017),
ISBN: 978-1-5090-6736-7;
Paper-Nr. CC-P.15 SUN,
1 S.
-
S. Schönhuber, M. Brandstetter, M. Krall, M. A. Kainz, H. Detz, T. Zederbauer, A. M. Andrews, G. Strasser, K. Unterrainer:
"Acquisition of spectrally resolved multimode far-fields from terahertz quantum cascade lasers";
Vortrag: CLEO Europe,
München, Deutschalnd;
25.06.2017
- 29.06.2017; in: "Abstracts of the Conference on Lasers and the Electro-Optics Europe & European Quantum Electronics Conference",
(2017),
ISBN: 978-1-5090-6736-7;
Paper-Nr. CC-3.1 SUN,
1 S.
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, J.P. Waclawek, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Photonic Gas Sensing with Commutable Laser and Detector on the same Chip";
Vortrag: ICAVS9,
Victoria;
11.06.2017
- 16.06.2017; in: "digital digest",
(2017),
Paper-Nr. 31.4,
2 S.
-
H. D. Wanzenböck, M. Shawrav, E. Bertagnolli, S. Schmid:
"Direct-write deposition of pure gold nanostructures - new possibilities and new challenges";
Vortrag: 61st International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN 2017),
Orlando, FL, USA;
30.05.2017
- 02.06.2017; in: "Conference Proceedings EIPBN 2017",
(2017),
1 S.
Zusätzliche Informationen
-
S. Schönhuber, M. Brandstetter, T. Hisch, C. Deutsch, M. Krall, H. Detz, M. Liertzer, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, S. Rotter, G. Strasser, K. Unterrainer:
"Random lasers for broadband directional emission";
Vortrag: International Workshop: Discrete, Nonlinear and Disordered Optics,
Dresden;
08.05.2017
- 12.05.2017; in: "Discrete, Nonlinear and Disordered Optics",
(2017).
-
M. A. Kainz, C. Deutsch, M. Krall, M. Brandstetter, D. Bachmann, S. Schönhuber, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High performance InGaAs/InAlAs terahertz quantum cascade lasers";
Poster: International Workshop on Optical Terahertz Science and Technology (OTST 2017),
London;
02.04.2017
- 07.04.2017; in: "OTST 2017 - Optical Terahertz Science and Technology",
(2017),
Paper-Nr. PS3:28,
1 S.
-
S. Schönhuber, M. Brandstetter, M. Krall, M. A. Kainz, H. Detz, T. Zederbauer, A. M. Andrews, G. Strasser, K. Unterrainer:
"Mode imaging of terahertz quantum cascade lasers";
Vortrag: International Workshop on Optical Terahertz Science and Technology (OTST 2017),
London;
02.04.2017
- 07.04.2017; in: "OTST 2017 - Optical Terahertz Science and Technology",
(2017),
1 S.
-
S. Schuler, D. Schall, D. Neumaier, L. Dobusch, O. Bethge, B. Schwarz, M. Krall, T. Müller:
"Gate-controlled graphene pn-junction for integrated photodetection";
Vortrag: Graphene 2017,
Barcelona, Spain;
28.03.2017
- 31.03.2017; in: "Abstracts of the 7th edition of the largest European Event in Graphene and 2D Materials",
(2017).
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"Implementation of a microprocessor using a two-dimensional semiconductor";
Vortrag: Graphene 2017,
Barcelona, Spain;
28.03.2017
- 31.03.2017; in: "Abstracts of the 7th edition of the largest European Event in Graphene and 2D Materials",
(2017).
-
S. Schuler, D. Schall, D. Neumaier, L. Dobusch, O. Bethge, B. Schwarz, M. Krall, T. Müller:
"Integrated graphene photodetector based on a gate-controlled pn-junction";
Vortrag: SPIE Photonics West 2017,
San Francisco;
31.01.2017
- 02.02.2017; in: "Conference Proceedings",
10106
(2017),
ISBN: 9781510606531;
Paper-Nr. 10106-36,
1 S.
-
J. Chauveau, M. Hugues, N. Le Biavan, D. Lefebvre, M. Montes Bajo, J. Tamayo-Arriola, A. Hierro, P. Quach, A. Jollivet, N. Isac, N. Isac, A. Bousseksou, M. Tchernycheva, F.H. Julien, B. Hinkov, G. Strasser, R. Peretti, G. Scalari, J. Faist:
"Non-polar ZnO/(Zn,Mg)O heterostructures for intersubband devices: novel applications with an old material system?";
Vortrag: SPIE Photonics West 2017,
San Francisco (eingeladen);
28.01.2017
- 02.02.2017; in: "Conference Proceedings",
(2017),
Paper-Nr. 10105-11,
1 S.
-
A. Harrer, B. Schwarz, S. Schuler, P. Reininger, A. Wirthmüller, H. Detz, D. MacFarland, T. Zederbauer, A. M. Andrews, M. Rothermund, H. Oppermann, W. Schrenk, G. Strasser:
"Quantum cascade detector at 4.3μm wavelength in pixel array configuration";
Vortrag: SPIE Photonics West 2017,
San Francisco;
28.01.2017
- 02.02.2017; in: "Conference Proceedings",
(2017),
S. 320.
-
B. Hinkov, B. Schwarz, A. Harrer, D. Ristanic, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Resonant Tunneling Diodes based on ZnO for Quantum Cascade Structures";
Vortrag: SPIE Photonics West 2017,
San Francisco;
28.01.2017
- 02.02.2017; in: "digital digest",
(2017),
S. 356.
-
B. Schwarz, C. Wang, T. Mansuripur, M. Connors, L. Missaggia, D. McNulty, G. Strasser, F. Capasso:
"Continuous-wave operation of MOVPE grown bi-functional quantum cascade laser/detectors";
Vortrag: SPIE Opto,
San Francisco;
28.01.2017
- 02.02.2017; in: "Proc. SPIE 10111, Quantum Sensing and Nano Electronics and Photonics XIV",
Society of Photo-Optical Instrumentation Engineers,
(2017),
Paper-Nr. 10111-85,
1 S.
Zusätzliche Informationen
-
B. Schwarz, D. Ristanic, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Plasmonics for mid-infrared on-chip sensing";
Vortrag: NANOMETA 2017,
Seefeld, Tirol;
04.01.2017
- 07.01.2017; in: "Proceedings of the 6th International Topical Meeting on Nanophotonics and Metamaterials",
(2017),
ISBN: 979-10-96389-02-5;
S. 26.
-
R. Szedlak, A. Harrer, M. Holzbauer, B. Schwarz, J.P. Waclawek, H. Moser, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Compact mid-IR sensors based on bi-functional and commutable semiconductor lasers and detectors on the same chip";
Vortrag: 3rd International WORKshop on Infrared Technologies,
Olching (eingeladen);
07.11.2016
- 08.11.2016; in: "digital digest",
(2016),
2 S.
-
A. M. Andrews, T. Zederbauer, D. MacFarland, H. Detz, W. Schrenk, M. Brandstetter, M. A. Kainz, M. Krall, S. Schönhuber, K. Unterrainer, G. Strasser:
"Growth of lattice-matched InAs-based intersubband devices";
Vortrag: German MBE Workshop,
Garching, Deutschland;
13.10.2016
- 14.10.2016; in: "German MBE Workshop",
(2016),
S. 27.
-
H. Detz, S. Lancaster, H. Groiss, D. MacFarland, A. M. Andrews, T. Zederbauer, W. Schrenk, G. Strasser:
"Formation of B-enriched clusters on GaAs nanowire sidewalls";
Poster: German MBE Workshop,
Garching, Deutschland;
13.10.2016
- 14.10.2016; in: "German MBE Workshop",
(2016).
-
M. Brandstetter, M. Krall, M. A. Kainz, S. Schönhuber, C. Deutsch, T. Zederbauer, A. M. Andrews, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Lasers with low effective mass active region";
Vortrag: IEEE Photonics Conference,
Waikoloa, Hawaii, USA (eingeladen);
02.10.2016
- 06.10.2016; in: "IEEE Photonics Conference (IPC) 2016",
(2016),
ISBN: 978-1-5090-1906-9;
S. 70
- 71.
-
B. Hinkov, D. Ristanic, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Fabrication of ZnO-based Resonant Tunneling Diodes for Quantum Cascade Structures";
Vortrag: 66. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien;
27.09.2016
- 29.09.2016; in: "Tagungsband - 66. Jahrestagung der ÖPG",
(2016),
S. 165
- 166.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Sub-threshold measurements of the whispering gallery modes in ring quantum cascade lasers";
Vortrag: Annual Meeting of the Austrian Physical Society,
Wien;
27.09.2016
- 29.09.2016; in: "Conference Book",
(2016),
S. 160
- 161.
-
S. Lancaster, H. Detz, M. Kriz, D. MacFarland, M. Schinnerl, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Focused ion beam implantation for the nucleation of self-catalyzed III-V nanowires";
Vortrag: 42nd International Conference on Nano Engineering, MNE 2016,
Wien;
19.09.2016
- 23.09.2016; in: "Technical digest",
(2016),
Paper-Nr. A6-1-4,
1 S.
-
B. Schwarz, B. Hinkov, D. Ristanic, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"A monolithically integrated mid-infrared lab-on-a-chip";
Vortrag: 42nd International Conference on Nano Engineering, MNE 2016,
Wien (eingeladen);
19.09.2016
- 23.09.2016; in: "Technical digest",
(2016),
Paper-Nr. D1-1-inv,
1 S.
-
M. Shawrav, P. Taus, H. D. Wanzenböck, M. Schinnerl, A. Lugstein, M. Stöger-Pollach, S. Schwarz, A. Steiger-Thirsfeld, E. Bertagnolli:
"Gas assisted purification of Electron Beam Induced Deposited noble metal nanostructures - Challenges and Applications in nanoelectronics";
Vortrag: International Conference on Micro- and Nano Engineering (MNE),
Wien;
19.09.2016
- 23.09.2016; in: "42nd International Conference on Micro and Nano Engineering",
(2016),
S. 80.
-
A. Harrer, B. Schwarz, R. Szedlak, D. Ristanic, H. Detz, A. M. Andrews, T. Zederbauer, D. MacFarland, W. Schrenk, G. Strasser:
"Quantum Cascade devices: from discrete to integrated systems";
Vortrag: 13th International Conference on Mid-IR Optoelectronics: Material and Devices (MIOMD-XIII),
Beijing (eingeladen);
18.09.2016
- 22.09.2016; in: "Conference Book MIOMD-XIII",
(2016),
S. 62.
-
G. Strasser, B. Schwarz, R. Szedlak, A. Harrer, H. Detz, A. M. Andrews, T. Zederbauer, D. MacFarland, W. Schrenk:
"Quantum cascade detectors and monolithically integrated sensing devices";
Vortrag: FLAIR 2016,
Aix-les-Bains (eingeladen);
12.09.2016
- 16.09.2016; in: "FLAIR 2016",
(2016),
S. 165.
-
A. M. Andrews, T. Zederbauer, D. MacFarland, H. Detz, W. Schrenk, M. Brandstetter, M. A. Kainz, M. Krall, S. Schönhuber, K. Unterrainer, G. Strasser:
"Growth of AlxIn3-xAsySB1-y for InAs-based THz Quantum Cascade Lasers";
Vortrag: 19th International Conference on Molecular Beam Epitaxy,
Montpellier, Frankreich;
04.09.2016
- 09.09.2016; in: "Technical Program",
(2016),
S. 32.
-
H. Detz, D. MacFarland, T. Zederbauer, S. Lancaster, A. M. Andrews, W. Schrenk, G. Strasser:
"Anomalous Incorporation of B into BxGa1-xAs Layers";
Poster: 19th International Conference on Molecular Beam Epitaxy,
Montpellier, Frankreich;
04.09.2016
- 09.09.2016; in: "Final Program",
(2016),
S. 1
- 2.
-
A. Harrer, B. Schwarz, R. Szedlak, S. Schuler, H. Detz, A. M. Andrews, T. Zederbauer, D. MacFarland, W. Schrenk, G. Strasser:
"High performance quantum cascade detector array for CO2 detection";
Poster: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
04.09.2016
- 09.09.2016; in: "Technical Digest",
(2016),
Paper-Nr. P2.12,
2 S.
-
B. Hinkov, D. Ristanic, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Reactive ion etching of ZnO epilayers for resonant tunneling diodes and quantum cascade structures";
Poster: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
04.09.2016
- 09.09.2016; in: "Technical Digest",
(2016),
Paper-Nr. P1.22,
2 S.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Substrate-emitting ring quantum cascade laser array with distributed feedback metal gratings";
Poster: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
04.09.2016
- 09.09.2016; in: "Technical digest",
(2016),
Paper-Nr. P1.21,
2 S.
-
M. A. Kainz, M. Brandstetter, T. Zederbauer, M. Krall, S. Schönhuber, H. Detz, W. Schrenk, A. M. Andrews, T. Grange, G. Strasser, K. Unterrainer:
"InAs based Terahertz Quantum Cascade Lasers";
Poster: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
04.09.2016
- 09.09.2016; in: "Technical Digest",
(2016),
Paper-Nr. P1.9,
2 S.
-
M. Krall, M. A. Kainz, M. Brandstetter, C. Deutsch, S. Schönhuber, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High Performance InGaAs-based Terahertz Quantum Cascade Lasers";
Vortrag: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
04.09.2016
- 09.09.2016; in: "Technical Digest",
(2016),
2 S.
-
S. Schönhuber, M. Brandstetter, T. Hisch, M. Krall, M. A. Kainz, H. Detz, A. M. Andrews, G. Strasser, S. Rotter, K. Unterrainer:
"Investigating the Emission Characteristics of THz Quantum Cascade Random Lasers";
Poster: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
04.09.2016
- 09.09.2016; in: "Technical Digest",
(2016),
Paper-Nr. P1.4,
2 S.
-
G. Strasser:
"Material systems for QC Devices: Design, Growth, and Fabrication";
Hauptvortrag: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge (eingeladen);
04.09.2016
- 09.09.2016; in: "Digital digest",
(2016),
1 S.
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, J.P. Waclawek, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Remote gas sensing with commutable quantum cascade laser and detector on the same Chip";
Vortrag: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge (eingeladen);
04.09.2016
- 09.09.2016; in: "Technical Digest",
(2016),
Paper-Nr. 45,
2 S.
-
A. Papp, C. Wiesmeyr, M. Litzenberger, H. Garn, W. Kropatsch:
"A real-time algorithm for train position monitoring using optical time-domain reflectometry";
Vortrag: 2016 IEEE International Conference on Intelligent Rail Transportation,
Birmingham, UK (eingeladen);
23.08.2016
- 25.08.2016; in: "IEEE International Conference on Intelligent Rail Transportation (ICIRT)",
IEEE,
(2016),
ISBN: 978-1-5090-1556-6;
S. 89
- 93.
Zusätzliche Informationen
-
M. Brandstetter, C. Deutsch, M. Krall, S. Schönhuber, M. A. Kainz, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High Power THz Quantum Cascade Lasers";
Vortrag: 7th EPS-QEOD Europhoton Conference (EUROPHOTON 2016),
Wien;
21.08.2016
- 26.08.2016; in: "Europhysics Conference Abstract Volume 40 B",
(2016),
ISBN: 979-10-96389-00-1;
Paper-Nr. PO-3.15,
1 S.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Towards continuous wave operation of ring cavity quantum cascade lasers";
Poster: 7th EPS-QEOD Europhoton Conference (EUROPHOTON 2016),
Wien;
21.08.2016
- 26.08.2016; in: "Europhysics Conference Abstract Volume 40 B",
(2016),
ISBN: 979-10-96389-00-1;
S. 33.
-
R. Szedlak, A. Harrer, M. Holzbauer, B. Schwarz, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared ring lasers for sensing applications";
Vortrag: 7th EPS-QEOD Europhoton Conference (EUROPHOTON 2016),
Wien;
21.08.2016
- 26.08.2016; in: "Europhysics Conference Abstract Volume 40 B",
(2016),
ISBN: 979-10-96389-00-1;
S. 52.
-
S. Schuler, D. Neumeier, D. Schall, L. Dobusch, O. Bethge, B. Schwarz, M. Krall, T. Müller:
"Graphene-based photodetector on a slot waveguide";
Vortrag: 7th Graphene and 2D Materials Satellite Symposium,
Wien;
13.08.2016; in: "Technical Digest",
(2016),
1 S.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring quantum cascade lasers with metal-covered distributed feedback gratings";
Vortrag: 33rd International Conference on the Physics of Semiconductors (ICPS2016),
Beijing;
31.07.2016
- 05.08.2016; in: "Proceedings of the ICPS 2016",
(2016),
S. 353.
-
M. A. Kainz, M. Brandstetter, T. Zederbauer, M. Krall, S. Schönhuber, H. Detz, W. Schrenk, A. M. Andrews, T. Grange, G. Strasser, K. Unterrainer:
"InAs based Terahertz Quantum Cascade Lasers";
Vortrag: 33rd International Conference on the Physics of Semiconductors (ICPS2016),
Beijing, China;
31.07.2016
- 05.08.2016; in: "Proceedings of the ICPS 2016",
(2016),
Paper-Nr. Mo-F4.5,
1 S.
-
M. Krall, M. A. Kainz, M. Brandstetter, C. Deutsch, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Systematic comparison of alternative barrier materials for InGaAs-based terahertz quantum cascade lasers";
Poster: 33rd International Conference on the Physics of Semiconductors (ICPS2016),
Beijing, China;
31.07.2016
- 05.08.2016; in: "Proceedings of the ICPS 2016",
(2016),
Paper-Nr. Tu-P.225,
1 S.
-
R. Szedlak, A. Harrer, M. Holzbauer, B. Schwarz, J.P. Waclawek, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Laser Surface Emission and Detection from the same Quantum Cascade Element";
Poster: 33rd International Conference on the Physics of Semiconductors (ICPS2016),
Beijing, China;
31.07.2016
- 05.08.2016; in: "Proceedings of the ICPS 2016",
(2016),
S. 658.
-
R. Szedlak, T. Hisch, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, S. Rotter, G. Strasser:
"Creation of Orbital Angular Momentum using an On-chip Dielectric Metamaterial for Beam Shaping";
Poster: 33rd International Conference on the Physics of Semiconductors (ICPS2016),
Beijing, China;
31.07.2016
- 05.08.2016; in: "Proceedings of the ICPS 2016",
(2016),
S. 659.
-
S. Schönhuber, M. Brandstetter, T. Hisch, M. Krall, M. A. Kainz, H. Detz, G. Strasser, S. Rotter, K. Unterrainer:
"Study of Collimated Broadband Surface Emission from THz Quantum Cascade Random Lasers";
Poster: Int. School of Solid State Physics, 70th Workshop: Terahertz Science, Nanotechnologies and Applications,
Erice, Sicily;
16.07.2016
- 22.07.2016; in: "Technical Digest",
(2016),
2 S.
-
M. Shawrav, P. Taus, H. D. Wanzenböck, M. Schinnerl, M. Sistani, A. Lugstein, M. Stöger-Pollach, S. Schwarz, A. Steiger-Thirsfeld, E. Bertagnolli:
"Highly conductive & (almost) pure gold deposition without post treatment - A fairy tale has come true?";
Vortrag: Focused Electron Beam Induced Processing Workshop (FEBIP),
Wien;
04.07.2016
- 08.07.2016; in: "FEBIP 2016",
(2016),
S. 106.
-
P. Taus, H. D. Wanzenböck, M. Shawrav, S. Tiefenbacher, H. Hoffmann, E. Bertagnolli:
"Direct Writing of Noble Metals as a Potential Tool for Biosciences";
Poster: Focused Electron Beam Induced Processing Workshop (FEBIP),
Wien;
04.07.2016
- 08.07.2016; in: "FEBIP 2016",
(2016),
S. 230.
-
Verena Pramhaas, Matteo Roiaz, O. Bethge, Ch. Rameshan, G. Rupprechter:
"Platinum thin film model catalysts investigated under reaction conditions using sum frequency generation (SFG) spectroscopy";
Poster: The 16th International Congress on Catalysis,
Beijing;
03.07.2016
- 08.07.2016; in: "The 16th International Congress on Catalysis - Poster Presentations",
(2016),
S. 26.
-
A. Harrer, R. Szedlak, B. Schwarz, H. Moser, T. Zederbauer, D. MacFarland, H. Detz, A. M. Andrews, B. Lendl, G. Strasser:
"Mid-infrared bi-functional quantum cascade sensor for long interaction regions";
Vortrag: International Conference Functional Integrated nano Systems,
Graz;
27.06.2016
- 29.06.2016; in: "Conference Documentation",
(2016).
-
S. Lancaster, A. M. Andrews, T. Zederbauer, D. MacFarland, H. Detz, G. Strasser:
"Schottky barrier formation in GaAs nanowires by heterogeneous contact deposition";
Poster: International Conference Functional Integrated nano Systems,
Graz;
27.06.2016
- 29.06.2016; in: "Conference Documentation",
(2016).
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Ring Quantum Cascade Lasers for Chemical Sensing and Spectroscopic Applications";
Vortrag: Vienna Young Scientists Symposium - VSS 2016,
Wien;
09.06.2016
- 10.06.2016; in: "VSS - VIENNA young SCIENTISTS SYMPOSIUM, June 9-10 2016",
Book-of-Abstracts.com, Heinz A. Krebs,
Gumpoldskirchen, Austria
(2016),
ISBN: 978-3-9504017-2-1;
S. 124.
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, H. Moser, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Highly Integrated Gas Sensors based on Bi-functional Quantum Cascade Structures";
Vortrag: CLEO 2016,
San Jose;
05.06.2016
- 10.06.2016; in: "CLEO:2016 Laser Science to Photonic Applications",
(2016),
S. 234.
-
R. Szedlak, T. Hisch, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, S. Rotter, G. Strasser:
"On-chip Generation of Infrared Orbital Angular Momentum Beams using a Dielectric Metamaterial";
Vortrag: CLEO 2016,
San Jose;
05.06.2016
- 10.06.2016; in: "CLEO:2016 Laser Science to Photonic Applications",
(2016),
S. 181.
-
M. Shawrav, H. D. Wanzenböck, P. Taus, S. Waid, Z. Gökdeniz, E. Bertagnolli:
"Focused electron beam induced surface reactions - from surface termination to etching";
Vortrag: CELINA,
Krakow, Polen;
18.05.2016
- 20.05.2016; in: "The 3rd CELINA Meeting",
(2016),
S. 38.
-
S. Kalchmair, R. Gansch, P Genevet, T. Zederbauer, D. MacFarland, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, F. Capasso, M. Loncar:
"In-situ measurement of bound states in the continuum in photonic crystal slabs";
Vortrag: Photonic Crystal Materials and Devices XII,
Brüssel;
05.04.2016
- 07.04.2016; in: "Proceedings of SPIE",
SPIE,
9885
(2016),
ISBN: 9781510601307;
S. 9885-21.
Zusätzliche Informationen
-
H. Detz, M. Kriz, S. Lancaster, D. MacFarland, M. Schinnerl, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Lithography-Free Positioned GaAs Nanowire Growth";
Poster: 19th International Winterschool - New Developments in Solid State Physics,
Mauterndorf;
21.02.2016
- 26.02.2016; in: "Digital digest",
(2016),
Paper-Nr. P 6.10,
1 S.
-
A. Harrer, R. Szedlak, B. Schwarz, H. Moser, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"A mid-infrared gas-sensing device based on bi-functional quantum cascade structures";
Poster: 19th International Winterschool - New Developments in Solid State Physics,
Mauterndorf;
21.02.2016
- 26.02.2016; in: "Digital digest",
(2016),
Paper-Nr. P 1.6.8,
1 S.
-
M. A. Kainz, M. Brandstetter, T. Zederbauer, M. Krall, S. Schönhuber, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, K. Unterrainer:
"Terahertz Quantum Cascade Lasers based on InAs";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
21.02.2016
- 26.02.2016; in: "Technical Digest",
(2016),
Paper-Nr. P 1.6.2,
2 S.
-
M. Paur, L. Dobusch, H. Detz, A. M. Andrews, G. Strasser, T. Müller:
"Microdisk resonators coupled with atomically thin semiconductors";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
21.02.2016
- 26.02.2016; in: "Technical Digest",
(2016),
Paper-Nr. P 3.2.10,
2 S.
-
S. Schönhuber, C. Deutsch, M. Brandstetter, M. Krall, H. Detz, T. Hisch, S. Rotter, G. Strasser, K. Unterrainer:
"Broadband Surface Emitting Random Lasers";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
21.02.2016
- 26.02.2016; in: "Technical Digest",
(2016),
Paper-Nr. P 1.6.3,
2 S.
-
B. Schwarz, D. Ristanic, P. Reininger, T. Zederbauer, D. MacFarland, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"A monolithically integrated mid-infrared lab-on-a-chip";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
21.02.2016
- 26.02.2016; in: "Digital digest",
(2016),
Paper-Nr. P 1.1.5,
1 S.
-
S. Wachter, D.K Polyushkin, O. Bethge, A. Lugstein, T. Müller:
"Logic circuits in CVD-grown 2D MoS2";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
21.02.2016
- 26.02.2016; in: "Technical Digest",
(2016),
Paper-Nr. P 3.2.12,
2 S.
-
A. Harrer, B. Schwarz, P. Reininger, R. Szedlak, T. Zederbauer, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser:
"An optimized bi-functional material for integrated mid-infrared quantum cascade based sensors";
Vortrag: Photonics West 2016,
San Franciso, California, USA;
13.02.2016
- 18.02.2016; in: "digital digest",
(2016),
Paper-Nr. 9767-49,
1 S.
-
M. Krall, M. Brandstetter, D. Bachmann, C. Deutsch, J. Darmo, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz quantum cascade laser systems";
Vortrag: Photonics West,
San Francisco, California, USA (eingeladen);
13.02.2016
- 18.02.2016; in: "Technical Digest",
(2016),
1 S.
-
P. Reininger, T. Zederbauer, B. Schwarz, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser:
"A low effective mass materialsystem for quantum cascade detectors";
Vortrag: Photonics West,
San Francisco, USA (eingeladen);
13.02.2016
- 18.02.2016; in: "digital digest",
(2016),
Paper-Nr. 9755-37,
1 S.
-
T. Nakata, K. Sugano, M. Negri, F. Rossi, G. Salyiati, A. Lugstein, Y. Isono:
"Mems-Based Mechanical Characterization of Core-Shell Silicon Carbide Nanowires for Harsh Environmental Nanomechanical Elements";
Vortrag: MEMS 2016,
Shanghai, China;
24.01.2016
- 28.01.2016; in: "Ieee Mems 2016",
(2016),
S. 543
- 546.
-
M. Reiner, P. Lagger, G. Prechtl, P. Steinschifter, R. Pietschnig, D. Pogany, C. Ostermaier:
"Modification of "Native" Surface Donor States in AlGaN/GaN MIS-HEMTs by Fluorination: Perspective for Defect Engineering";
Vortrag: IEEE International Electron Devices Meeting (IEDM),
Washington, USA;
07.12.2015
- 09.12.2015; in: "Technical Digest of International Electron Device Meeting",
(2015),
S. 1
- 4.
-
M. Brandstetter, C. Deutsch, M. Krall, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High-power THz quantum cascade lasers";
Vortrag: 2015 High Power Diode Lasers & Systems Conference (HPD),
Coventry, United Kingdom (eingeladen);
14.10.2015
- 15.10.2015; in: "Proceedings of the 2015 High Power Diode Lasers & Systems Conference (HPD)",
IEEE,
IEEE Catalog Number: CFP1571I-PRT
(2015),
ISBN: 978-1-4673-9177-1;
S. 5
- 6.
-
O. Bethge, B. Lutzer, J. Kaur, E. Bertagnolli:
"Regrowth of GeO2 in between Atomic Layer Deposited high-k oxides and Ge surfaces for improving the interface trap density";
Vortrag: Baltic ALD,
Tartu, Estonia;
28.09.2015
- 29.09.2015; in: "Program and Abstracts",
(2015),
S. 37.
-
H. Dodt, C. Hahn, S. Saghafi, K. Becker, N. Jährling, M. Pende, M. Sabdyusheva-Litschauer, M. Wanis:
"Ultramicroscopy of large samples with improved clearing and optics";
Vortrag: UltraMicroscope User Meeting,
Münster, Deutschland;
21.09.2015
- 22.09.2015; in: "1st UltraMicroscope User Meeting",
(2015),
S. 13.
-
B. Lutzer, O. Bethge, C. Zimmermann, E. Bertagnolli:
"Schottky-barrier Si and Ge MOSFETs with ALD grown bilayer gate dielectrics";
Vortrag: International Workshop Atomic Layer Deposition Russia,
Moscow, Russia;
21.09.2015
- 23.09.2015; in: "ALD Russia 2015",
(2015),
S. 31
- 32.
-
Verena Pramhaas, Matteo Roiaz, Ch. Rameshan, G. Rupprechter, O. Bethge:
"Investigation of ALD prepared model catalysts by SFG spectroscopy";
Vortrag: 16. Österreichische Chemietage,
Innsbruck;
21.09.2015
- 24.09.2015; in: "16. Österreichische Chemietage",
Book-of-Abstracts.com,
Gumpoldskirchen
(2015),
1 S.
-
M. Shawrav, P. Taus, H. D. Wanzenböck, Z. Gökdeniz, E. Bertagnolli:
"Direct deposition with pure gold nanostructures - Is it possible with FEBID?";
Poster: International Conference on Micro- and Nano-Engineering,
The Hague, The Netherlands;
21.09.2015
- 24.09.2015; in: "Programme",
(2015).
-
H. D. Wanzenböck, M. Shawrav, M. Gavagnin, D. Belic, P. Rödinger, G. Hochleitner, J. Mika, P. Taus, M. Stöger-Pollach, E. Bertagnolli:
"Focused electron beam induced processing (FEBIP) as maskless 3D direct-write nanolithography platform";
Vortrag: International Conference on Micro- and Nano-Engineering,
The Hague, The Netherlands;
21.09.2015
- 24.09.2015; in: "Programme",
(2015).
-
H. D. Wanzenböck, M. Shawrav, M. Gavagnin, S. Wachter, P. Taus, A. Steiger-Thirsfeld, M. Stöger-Pollach, A. Persson, K. Gunnarsson, P. Svedlindh, E. Bertagnolli:
"Nanomagnets as building blocks of logic gates - 3D nano manufacturing of nanomagnet assemblies by electron beam induced deposition";
Vortrag: International Conference on Micro- and Nano-Engineering,
The Hague, The Netherlands (eingeladen);
21.09.2015
- 24.09.2015; in: "Programme",
(2015).
-
H. D. Wanzenböck, M. Shawrav, J. Mika, S. Waid, Z. Gökdeniz, P. Rödinger, E. Bertagnolli:
"Subtractive direct-writing with a focused electron beam - Tailoring monocrystalline semiconductors without carbon contamination by etching";
Poster: International Conference on Micro- and Nano-Engineering,
The Hague, The Netherlands;
21.09.2015
- 24.09.2015; in: "Programme",
(2015).
-
M. Capriotti, C. Fleury, O. Bethge, M. Rigato, S. Lancaster, D. Pogany, G. Strasser:
"E-mode AlGaN/GaN True-MOS, with High-k ZrO2 Gate Insulator";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Graz;
14.09.2015
- 18.09.2015; in: "Proceedings of the ESSDERC",
(2015),
ISBN: 978-1-4673-7134-6;
S. 60
- 63.
-
M. Brandstetter, M. Krall, C. Deutsch, S. Schönhuber, D. MacFarland, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Alternative Material Systems for High Performance THz Quantum Cascade Lasers";
Vortrag: ITQW,
Wien;
06.09.2015
- 11.09.2015; in: "Technical Digest",
(2015).
-
M. Krall, D. Bachmann, C. Deutsch, M. Brandstetter, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"All-electrical thermal characterization of terahertz quantum cascade lasers";
Vortrag: ITQW,
Wien;
06.09.2015
- 11.09.2015; in: "Technical Digest",
(2015),
S. 34
- 35.
-
D. MacFarland, M. Krall, H. Detz, O. Bethge, T. Zederbauer, A. M. Andrews, W. Schrenk, M. Brandstetter, K. Unterrainer, G. Strasser:
"Growth and Characterization of AlGaInAs for use as the Barrier Material in Terahertz Quantum Cascade Lasers";
Poster: ITQW,
Wien;
06.09.2015
- 11.09.2015; in: "Technical Digest",
(2015),
S. 252
- 253.
-
P. Reininger, T. Zederbauer, B. Schwarz, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser:
"InAs/AlAsSb for quantum cascade detectors";
Vortrag: ITQW,
Wien;
06.09.2015
- 11.09.2015; in: "ITQW 2015",
(2015),
S. 86
- 87.
-
S. Schönhuber, M. Brandstetter, C. Deutsch, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Quantum Cascade Random Lasers";
Vortrag: ITQW,
Wien;
06.09.2015
- 11.09.2015; in: "Technical Digest",
(2015).
-
B. Schwarz, A. Harrer, D. Ristanic, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Bi-functional quantum cascade laser/detectors: From design to applications";
Vortrag: ITQW,
Wien;
06.09.2015
- 11.09.2015; in: "ITQW 2015",
(2015),
S. 104
- 105.
-
M. A. Kainz, M. Brandstetter, T. Zederbauer, M. Krall, S. Schönhuber, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Towards InAs based Terahertz Quantum Cascade Lasers";
Poster: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
01.09.2015
- 04.09.2015; in: "Gemeinsame Jahrestagung in Wien - ÖPG Tagungsband",
(2015).
-
B. Lutzer, O. Bethge, C. Zimmermann, M. Hummer, H. Hutter, M. Stöger-Pollach, E. Bertagnolli:
"ALD grown bilayer gate stacks for Schottky-barrier Si and Ge MOSFET";
Vortrag: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
01.09.2015
- 04.09.2015; in: "Gemeinsame Jahrestagung in Wien - ÖPG Tagungsband",
(2015),
S. 48.
-
S. Schönhuber, M. Brandstetter, C. Deutsch, M. Krall, H. Detz, T. Hisch, M. Liertzer, A. M. Andrews, W. Schrenk, G. Strasser, S. Rotter, K. Unterrainer:
"Quantum Cascade THz Random Lasers";
Vortrag: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
01.09.2015
- 04.09.2015; in: "Gemeinsame Jahrestagung in Wien - ÖPG Tagungsband",
(2015).
-
R. Szedlak, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Using the far field of ring lasers to characterize their whispering gallery modes";
Vortrag: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
01.09.2015
- 04.09.2015; in: "Gemeinsame Jahrestagung in Wien - ÖPG Tagungsband",
(2015),
S. 39.
-
C. Zimmermann, O. Bethge, B. Lutzer, E. Bertagnolli:
"Electrical Characterization of Yttrium Oxide grown by Atomic Layer Deposition for Germanium based MOS Devices";
Vortrag: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
01.09.2015
- 04.09.2015; in: "Gemeinsame Jahrestagung in Wien - ÖPG Tagungsband",
(2015),
S. 48.
-
J. Bintinger, R. Bittner, S. Yang, O. Bethge, H. Hoffmann, T. Kröber, H. Mikula, A. Foelske-Schmitz, K. Hradil, W. Knoll, I. Kymissis, J. Fröhlich:
"Self-assembled monolayer field-effect transistors (SAMFETs): A new synthetic approach for sensing applications";
Poster: 12th International Symposium on Functional π-Electron Systems,
University of Washington, Seattle, USA;
19.07.2015
- 24.07.2015; in: "Fπ-12 Program with links to Abstracts (web page)",
http://depts.washington.edu/uwconf/fpi12/fpi12_abstracts.html,
(2015),
Paper-Nr. http://depts.washington.edu/uwconf/fpi12/439.html.
-
G. Hobler, R.M. Bradley, Herbert M. Urbassek:
"Testing Sigmund“s Model of Sputtering";
Hauptvortrag: International Workshop on Nanoscale Pattern Formation at Surfaces,
Krakow, Polen;
12.07.2015
- 16.07.2015; in: "Book of Abstracts",
(2015),
S. 7.
-
H. Dodt, C. Hahn, K. Becker, S. Saghafi, N. Jährling, M. Pende, M. Sabdyusheva-Litschauer, M. Wanis:
"Ultramicroscopy of large samples with improved clearing";
Vortrag: LightSheet Fluorescence Microscopy International Conference,
Genoa (eingeladen);
05.07.2015
- 08.07.2015; in: "2nd LightSheet Fluorescence Microscopy International Conference",
(2015),
S. 31.
-
S. Saghafi, K. Becker, N. Jährling, C. Hahn, H. Dodt:
"Optimization in ultramicroscopy imaging technique through laser light sheet enhancement";
Vortrag: LightSheet Fluorescence Microscopy International Conference,
Genoa (eingeladen);
05.07.2015
- 08.07.2015; in: "2nd LightSheet Fluorescence Microscopy International Conference",
(2015),
S. 34.
-
M. Capriotti, C. Fleury, C. Ostermaier, G. Strasser, D. Pogany:
"Interaction Between the Instrinsic Frequency Response of the III-N Barrier Layer and Interface States in III-N MIS-HEMTs in Spill-Over Regime";
Vortrag: Compound Semiconductor Week (CSW),
Santa Barbara;
28.06.2015
- 02.07.2015; in: "2015 Compound Semiconductor Week",
(2015),
S. 1
- 2.
-
H. Detz, J. Maier, G. Strasser:
"Atomistic Modeling of Interfacial Strain in III-V Heterostructures";
Vortrag: Compound Semiconductor Week (CSW),
Santa Barbara;
28.06.2015
- 02.07.2015; in: "2015 Compound Semiconductor Week",
(2015),
S. 1
- 2.
-
M. Kriz, S. Lancaster, M. Schinnerl, H. Detz, E. Bertagnolli, G. Strasser:
"Position Control of Nanowires using a focused ion beam";
Poster: Vienna Young Scientists Symposium - VSS 2015,
Vienna University of Technology;
25.06.2015
- 26.06.2015; in: "VSS - VIENNA young SCIENTISTS SYMPOSIUM, June 25-26 2015",
Book-of-Abstracts.com, Heinz A. Krebs,
Gumpoldskirchen, Austria
(2015),
ISBN: 978-3-9504017-0-7;
S. 146
- 147.
-
S. Lancaster, M. Kriz, M. Capriotti, M. Schinnerl, G. Lilley, H. Detz, G. Strasser:
"III-V Nanowires for Optoelectronic Applications";
Poster: Vienna Young Scientists Symposium - VSS 2015,
Vienna University of Technology;
25.06.2015
- 26.06.2015; in: "VSS - VIENNA young SCIENTISTS SYMPOSIUM, June 25-26 2015",
Book-of-Abstracts.com, Heinz A. Krebs,
Gumpoldskirchen, Austria
(2015),
ISBN: 978-3-9504017-0-7;
S. 144
- 145.
-
J. Maier, H. Detz, G. Strasser:
"Atomistic Modeling of III-V Semiconductor Interfaces";
Poster: Vienna Young Scientists Symposium - VSS 2015,
Vienna University of Technology;
25.06.2015
- 26.06.2015; in: "VSS - VIENNA young SCIENTISTS SYMPOSIUM, June 25-26 2015",
Book-of-Abstracts.com, Heinz A. Krebs,
Gumpoldskirchen, Austria
(2015),
ISBN: 978-3-9504017-0-7;
S. 38
- 39.
-
S. Saghafi, K. Becker, N. Jährling, C. Hahn, H. Dodt:
"Recent developments in light sheet ultramicroscopy imaging techniques";
Vortrag: International Conference on Photonics in Europe Collocated with LASER 2015 World of PHOTONICS,
Munich, Germany;
21.06.2015
- 25.06.2015; in: "Technical Programms",
(2015),
S. 953601
- 953607.
-
S. Schönhuber, C. Deutsch, M. Brandstetter, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Novel Photonic Concepts for THz QCLs: Random Lasers";
Vortrag: CLEO Europe 2015,
München, Deutschalnd;
21.06.2015
- 25.06.2015; in: "EQEC 2015 - Conference Digest",
(2015),
ISBN: 978-1-4673-7475-0;
Paper-Nr. CC-1.4,
1 S.
-
O. Bethge, B. Lutzer, J. Kaur, E. Bertagnolli:
"Pathways for lowering the Interface Trap Density in Ge based MOS Devices";
Vortrag: EMN Cancun Meeting,
Cancun, Mexico (eingeladen);
08.06.2015
- 11.06.2015; in: "Program&Abstracts",
(2015),
S. 68
- 69.
-
M. Shawrav, H. D. Wanzenböck, P. Taus, Z. Gökdeniz, E. Bertagnolli:
"Towards high purity FEBID gold nanostructures - a comparison of purification approaches";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
San Diego, USA;
26.05.2015
- 29.05.2015; in: "EIPBN",
(2015),
S. 1
- 2.
-
H. D. Wanzenböck, M. Shawrav, J. Mika, S. Waid, Z. Gökdeniz, P. Rödiger, E. Bertagnolli:
"Focused Electron Beam Induced Etching - Advantages, Features & Limitations of FEBIE with Chlorine";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
San Diego, USA;
26.05.2015
- 29.05.2015; in: "Programme",
(2015),
S. 1
- 2.
-
H. D. Wanzenböck, M. Shawrav, S. Wachter, M. Gavagnin, E. Bertagnolli:
"Expanding nanomagnetic logic into the third dimension New pathways via FEBID";
Vortrag: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
San Francisco, USA;
26.05.2015
- 29.05.2015; in: "Programme",
(2015),
S. 1
- 2.
-
M. Shawrav, J. Mika, H. D. Wanzenböck, Z. Gökdeniz, S. Waid, E. Bertagnolli:
"Chlorine based focused electron beam-induced surface patterning of semiconductor nanowi";
Vortrag: E-MRS Spring Meeting,
Lille, France;
11.05.2015
- 15.05.2015; in: "E-MRS Spring Meeting",
(2015).
-
D. Ristanic, B. Schwarz, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, T. Zederbauer, D. MacFarland, G. Strasser:
"Monolithical Absorption Sensor Based on Bi-functional Quantum Cascade Structures";
Vortrag: CLEO 2015,
San Jose, USA;
10.05.2015
- 15.05.2015; in: "Abstracts in Laser Science to Photonic Applications",
OSA Publishing,
(2015),
ISBN: 978-1-55752-968-8.
-
M. Shawrav, Z. Gökdeniz, H. D. Wanzenböck, E. Bertagnolli:
"In-situ controlled Focused Electron Beam Induced Etching of semiconductor nanowires";
Poster: CELINA,
bratislava Slovakia;
06.05.2015
- 09.05.2015; in: "Book of Abstracts",
(2015),
S. 60.
-
P. Taus, M. Shawrav, D. Belic, H. D. Wanzenböck, E. Bertagnolli:
"Purification of Electron Beam Induced Deposited Gold Nanostructures";
Poster: CELINA,
bratislava Slovakia;
06.05.2015
- 09.05.2015; in: "Book of Abstracts",
(2015),
S. 61.
-
H. D. Wanzenböck, M. Gavagnin, M. Shawrav, P. Taus, M. Stöger-Pollach, E. Bertagnolli:
"FEBID of Fe and Co nanopillars - A versatile approach for high aspect ratio and low momentum magnetic tips for magnetic force microscopy";
Poster: CELINA,
bratislava Slovakia;
06.05.2015
- 09.05.2015; in: "Book of Abstracts",
(2015),
S. 63.
-
P. Lagger, S. Donsa, P. Spreitzer, G. Pobegen, M. Reiner, H. Naharashi, J. Mohamed, M. Mösslacher, G. Prechtl, D. Pogany, C. Ostermaier:
"Thermal Activation of PBTI-related Stress and Recovery Processes in GaN MIS-HEMTs Using On-Wafer Heaters";
Vortrag: International Reliability Physics Symposium (IRPS),
Monterey, USA;
19.04.2015
- 23.04.2015; in: "Proc. International Reliability Physics Symposium (IRPS 2015)",
IEEE,
(2015),
S. 6C.2.1.
- 6C.2.7.
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Towards nanowire-based terahertz quantum cascade lasers";
Vortrag: Towards Nanowire-Based Terahertz Quantum Cascade Lasers,
Bad Honnef, Germany;
22.03.2015
- 25.03.2015; in: "Book of Abstracts",
(2015).
-
D. Ristanic, B. Schwarz, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, T. Zederbauer, D. MacFarland, G. Strasser:
"Quantum Cascade Lasers and Detectors: Monolithically integrated Nanosensors";
Vortrag: Nano and Photonics,
Mauterndorf;
19.03.2015
- 21.03.2015; in: "Book of Abstracts",
(2015).
-
N. Jährling, K. Becker, B. Wegenast-Braun, S. Grathwohl, M. Jucker, E. Kramer, S. Saghafi, C. Hahn, H. Dodt:
"Technical advances in ultramicroscopy and their application for investigating neuronal development and diseases";
Vortrag: Göttingen Meeting of the German Neuroscience Society,
Göttingen;
18.03.2015
- 21.03.2015; in: "Proceedings 11th Göttingen Meeting of the German Neuroscience Society",
Neuroforum March 2015,
Band 21
(2015),
ISSN: 0947-0875;
S. 1.
-
E. Kramer, K. Tillack, H. Aboutalebi, N. Jährling, H. Dodt:
"Imaging and quantification of dopaminergic neurons of the mouse using ultramicroscopy";
Vortrag: Göttingen Meeting of the German Neuroscience Society,
Göttingen;
18.03.2015
- 21.03.2015; in: "Proceedings 11th Göttingen Meeting of the German Neuroscience Society",
Neuroforum March 2015,
Band 21
(2015),
ISSN: 0947-0875;
S. 1.
-
A. M. Andrews, D. MacFarland, M. Krall, T. Zederbauer, H. Detz, W. Schrenk, M. Brandstetter, C. Deutsch, K. Unterrainer, G. Strasser:
"AlGaInAs barriers for InP-based Terahertz Quantum Cascade Lasers";
Vortrag: European Molecular Beam Epitaxy Workshop,
Canazei, Italien;
15.03.2015
- 18.03.2015; in: "Book of Abstracts",
(2015),
S. 1
- 2.
-
H. Detz, M. Kriz, S. Lancaster, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Nuclation Of Nano-Scale Gallium Droplets Silicon Surfaces";
Vortrag: European Molecular Beam Epitaxy Workshop,
Canazei, Italien;
15.03.2015
- 18.03.2015; in: "Book of Abstracts",
(2015),
S. 1
- 2.
-
H. Dodt, S. Saghafi, K. Becker, N. Jährling, C. Hahn:
"Imaging of whole mouse brains with the ultramicroscope";
Vortrag: Annual Meeting of the German Physiological Society,
Magdeburg, Deutschland;
05.03.2015
- 07.03.2015; in: "Annual Meeting of the German Physiological Society",
(2015),
S. 36.
-
H. Detz, G. Strasser:
"Metropolis Monte Carlo based Relaxation of Atomistic III-V Semiconductor Models";
Vortrag: International Symposium on Mathematical Modeling (MATHMOD),
Wien;
18.02.2015
- 20.02.2015; in: "MATHMOD 2015",
(2015),
S. 1
- 7.
-
K. Unterrainer, C. Deutsch, M. Brandstetter, M. Krall, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"High Power THz Quantum Cascade Lasers Based on Novel Materials and Designs";
Vortrag: IEEE Photonics Conference,
San Diego (eingeladen);
12.10.2014
- 16.10.2014; in: "IPC 2014",
(2014).
-
J. Darmo, D. Bachmann, M. Martl, D. Dietze, V. Paeder, A. M. Andrews, G. Strasser, K. Unterrainer:
"Time-domain probe of THz nanostructure lasers";
Vortrag: 22th International Conference on Advanced Laser Technologies (ALT'14),
Cassis, France (eingeladen);
06.10.2014
- 10.10.2014; in: "Book of Abstracts",
(2014),
S. 1.
-
O. Bethge, C. Zimmermann, B. Lutzer, S. Simsek, S. Abermann, E. Bertagnolli:
"ALD Grown Rare-Earth High-k Oxides on Ge: Lowering of the Interface Trap Density and EOT Scalability";
Vortrag: 226th Meeting of the Electrochemical Society,
Cancun, Mexiko;
05.10.2014
- 09.10.2014; in: "Semiconductors, Dielectrics, and Metals for Nanoelectronics 12",
(2014),
S. 71.
-
R. Boschke, D. Linten, G. Hellings, S. Chen, M. Scholz, J. Mitard, H. Mertens, L. Witters, J. Van Campenhout, P. Verheyen, D. Pogany, G Groeseneken:
"ESD Characterization of Germanium diodes";
Vortrag: EOS/ESD Symposium,
Tucson, USA;
07.09.2014
- 12.09.2014; in: "Proc. Electrical Overstress/Electrostatic Discharge Symposium (EOS/ESD), 2014 36th",
IEEE,
(2014),
ISSN: 0739-5159;
S. 1
- 9.
-
M. Brandstetter, C. Deutsch, M. Krall, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High Performance THz Quantum Cascade Lasers with Wafer Bonded Symmetric Active Regions";
Vortrag: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Policoro;
07.09.2014
- 14.09.2014; in: "Technical Digest",
(2014).
-
H. Dodt, K. Becker, C. Hahn, S. Saghafi:
"IT-3-0-1457 Imaging of cleared biological samples with the Ultramicroscope";
Vortrag: 18th International Microscopy Congress,
Prag;
07.09.2014
- 12.09.2014; in: "18th International Microscopy Congress Proceedings",
(2014),
ISBN: 978-80-260-6721-4;
S. 316.
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"From Photonic Crystal to Subwavelength Micropillar Array Terahertz Quantum Cascade Lasers";
Poster: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Policoro;
07.09.2014
- 14.09.2014; in: "Technical Digest",
(2014).
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, S. Wachter, E. Bertagnolli:
"Purification of FEBID gold nanostructures using oxygen plasma";
Poster: 18th International Microscopy Congress,
Prag;
07.09.2014
- 12.09.2014; in: "Proceedings",
(2014).
-
A. Steiger-Thirsfeld, B. Basnar, C. Tomastik, P. Pongratz, A. Lugstein:
"Characterization of carbonaceous contamination and the cleaning capability of atomic hydrogen during focused ion beam processing";
Poster: 18th International Microscopy Congress,
Prag;
07.09.2014
- 12.09.2014; in: "18th International Microscopy Congress Proceedings",
Czechoslovak Microscopy Society,
(2014),
ISBN: 978-80-260-6720-7;
Paper-Nr. IT-13-P-2068,
2 S.
-
H. D. Wanzenböck, M. Gavagnin, S. Wachter, M. Shawrav, M. Stöger-Pollach, A. Persson, K. Gunnarsson, P. Svedlindh, E. Bertagnolli:
"Direct-write Deposition of Magnetic Nanowires in a Scanning Electron Microscope - A new nanofabrication route for nanomagnetic logic applications";
Vortrag: 18th International Microscopy Congress,
Prag;
07.09.2014
- 12.09.2014; in: "IMC 2014 Proceedings",
(2014),
ISBN: 978-80-260-6721-4.
-
H. D. Wanzenböck, J. Mika, M. Shawrav, M. Gavagnin, B. Ismail, C. Zeiner, A. Lugstein, M. Stöger-Pollach, E. Bertagnolli:
"Electron beam induced surface modification of semiconductor nanowires in a chlorine environment - A new route to electrical tailoring of nanodevices";
Poster: 18th International Microscopy Congress,
Prag;
07.09.2014
- 12.09.2014; in: "18th International Microscopy Congress Proceedings",
(2014),
ISBN: 978-80-260-6721-4.
-
E. Kohn, M. Alomari, Z. Gao, S. Rossi, A. Dussaigne, J. Carlin, N. Grandjean, K. Aretouli, A. Adikimenakis, G. Konstantinidis, A. Georgakilas, Y. Zhang, J. Weaver, J. Calvo, M. Kuball, S. Bychikhin, J. Kuzmik, D. Pogany, L. Toth, B. Pecz, A. Kovacs:
"Direct Interpretation of Diamond Heat Spreader with GaN-Based HEMT Device Structures";
Vortrag: Lester Eastman Conference on High performance Devices,
Cornell University, Ithaca, NY, USA;
05.08.2014
- 07.08.2014; in: "Direct Integration of Diamond Heat Spreader with GaN-Based HEMT Device Structures",
(2014),
ISBN: 978-1-4799-6441-3;
S. 26
- 29.
-
H. D. Wanzenböck, G. Hochleitner, J. Mika, M. Shawrav, E. Bertagnolli:
"Insights in precursor flux distribution on the sample surface - Is the nozzle setup really";
Vortrag: Focused Electron Beam Induced Processing Workshop (FEBIP),
Frankfurt;
22.07.2014
- 24.07.2014; in: "5th Workshop on Focused Electron Beam Induced Processing - Program FEBIP 2014",
(2014),
S. 50.
-
B. Lutzer, O. Bethge, C. Zimmermann, S. Simsek, J. Smoliner, E. Bertagnolli:
"Characterization of Ultra-Thin Metal on Silicon Structures for Future Field Effect Devices";
Vortrag: XII International Conference on Nanostructured Materials (NANO 2014),
Moskau, Russland;
13.07.2014
- 18.07.2014; in: "Nano 2014",
Book of Abstracts,
(2014),
S. 111.
-
J. Mika, K. Schwarz, H. D. Wanzenböck, P. Scholze, E. Bertagnolli:
"Simultaneous Electrical Investigation of Isolated Neurites Using a Neurite-Isolation Device as Neurite Regeneration Model";
Vortrag: MEA Meeting 2014 - 9th Intern. Meeting on Substrate-Integrated Microelectrode Arrays,
Reutlingen;
01.07.2014
- 04.07.2014; in: "Proceedings MEA Meeting 2014",
(2014),
S. 322
- 323.
-
P. Schuller, J. Mika, H. D. Wanzenböck, P. Scholze, E. Bertagnolli:
"Investigation of Neuronal Activity Recorded with Multi-Electrode Arrays Using Principal Component Analysis";
Vortrag: MEA Meeting 2014 - 9th Intern. Meeting on Substrate-Integrated Microelectrode Arrays,
Reutlingen;
01.07.2014
- 04.07.2014; in: "Proceedings MEA Meeting 2014",
(2014),
S. 222
- 223.
-
H. D. Wanzenböck, A. Exler, J. Mika, E. Bertagnolli, E. Engleder, M. Wirth, F. Gabor:
"Real-time Monitoring of Lesion Healing by Impedance Spectrometry on Chip";
Poster: MEA Meeting 2014 - 9th Intern. Meeting on Substrate-Integrated Microelectrode Arrays,
Reutlingen;
01.07.2014
- 04.07.2014; in: "Proceedings MEA Meeting 2014",
(2014),
S. 133
- 134.
-
C. Deutsch, M. Brandstetter, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Towards Watt-Level Performance of Terahertz Quantum Cascade Lasers";
Vortrag: CLEO 2014,
San Jose;
08.06.2014
- 13.06.2014; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
Paper-Nr. STh4G.7,
2 S.
-
W. Gao, X. Wang, R. Chen, G. Strasser, J. Bird, J. Kono:
"Electroluminescence from a GaAs/AlGaAs Heterostructure at High Electric Fields: Evidence for Real- & κ-Space Transfer";
Vortrag: CLEO 2014,
San Jose;
08.06.2014
- 13.06.2014; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
2 S.
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Scaling of Micropillar Array Terahertz Lasers into the Subwavelength Regime";
Vortrag: CLEO 2014,
San Jose;
08.06.2014
- 13.06.2014; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
Paper-Nr. STh4G.8,
2 S.
-
P. Reininger, B. Schwarz, A. Harrer, T. Zederbauer, H. Detz, A. M. Andrews, R. Gansch, W. Schrenk, G. Strasser:
"2.5 D photonic crystal quantum cascade detector";
Poster: CLEO 2014,
San Jose;
08.06.2014
- 13.06.2014; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
2 S.
-
L. Schneebeli, C. Böttge, B. Breddermann, M. Kira, S. Koch, W.D. Rice, J. Kono, S. Zybell, S. Winnerl, J. Bhattacharyya, F. Eßer, H. Schneider, M. Helm, B Ewers, A. Chernikov, M. Koch, S. Chatterjee, G. Khitrova, H. Gibbs, A. M. Andrews, G. Strasser:
"Observation and manipulation of dipole-forbidden exciton transitions in semiconductors";
Vortrag: CLEO 2014,
San Jose;
08.06.2014
- 13.06.2014; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
2 S.
-
B. Schwarz, D. Ristanic, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"A mid-infrared Lab-on-a-Chip: Generating, Guiding and Detecting Light in a Monolithic Device";
Vortrag: CLEO 2014,
San Jose;
08.06.2014
- 13.06.2014; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
2 S.
-
P. Lagger, C. Ostermaier, D. Pogany:
"Enhancement of Vth Drift for Repetitive Gate Stress Pulses due to Charge Feedback Effect in GaN MIS-HEMTs";
Vortrag: International Reliability Physics Symposium (IRPS),
Waikoloa, Hawai;
01.06.2014
- 05.06.2014; in: "Proceeding of the IEEE International Reliability Physics Symposium (IRPS)",
(2014),
ISBN: 1-58537-258-7;
S. 6C.3.1.
- 6C.3.6.
-
H. Detz, C. Deutsch, T. Zederbauer, D. MacFarland, M. Krall, M. Brandstetter, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Material Analysis and Optimization using Symmetric THz Quantum Cascade Lasers";
Vortrag: International Symposium on Compound Semiconductors (ISCS),
Montpellier, Frankreich (eingeladen);
11.05.2014
- 15.05.2014; in: "Compound Semiconductor Week",
(2014),
S. 1
- 3.
-
H. Detz, J. Silvano de Sousa, H. Leonhardt, P. Klang, T. Zederbauer, A. M. Andrews, W. Schrenk, J. Smoliner, G. Strasser:
"Two-Dimensional Electron Gases Based on InGaAs/GaAsSb Heterostructures";
Vortrag: International Symposium on Compound Semiconductors (ISCS),
Montpellier, Frankreich;
11.05.2014
- 15.05.2014; in: "Compound Semiconductor Week",
(2014),
S. 1
- 3.
-
M. Gavagnin, H. D. Wanzenböck, S. Wachter, M. Shawrav, A. Persson, K. Gunnarsson, P. Svedlindh, M. Stöger-Pollach, E. Bertagnolli:
"3-dimensional nanomagnet logic arrays by direct write focused electron beam induced deposition";
Vortrag: 4th ASEM-Workshop,
University of Vienna;
08.05.2014
- 09.05.2014; in: "4th ASEM-Workshop on Advanced Electron Microscopy",
(2014).
-
A. Harrer, B. Schwarz, R. Gansch, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared Plasmonic Lens Intersubband Photodetector";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
23.02.2014
- 28.02.2014; in: "New Developments in Solid State Physics - Mauterndorf 2014",
(2014),
S. 108
- 109.
-
P. Klang, H. Detz, A. M. Andrews, P. Bakshi, G. Strasser, E. Gornik, M. Holzbauer:
"Resonant Intersubband Plasmon Enhanced Current Transport";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
23.02.2014
- 28.02.2014; in: "New Developments in Solid State Physics",
(2014),
S. 128
- 129.
-
P. Reininger, B. Schwarz, A. Harrer, T. Zederbauer, H. Detz, A. M. Andrews, R. Gansch, W. Schrenk, G. Strasser:
"Photonic crystal quantum cascade detector";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
23.02.2014
- 28.02.2014; in: "New Developments in Solid State Physics",
(2014),
S. 92
- 93.
-
B. Schwarz, P. Reininger, D. Ristanic, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser:
"Towards mid-infrared on-chip sensing";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
23.02.2014
- 28.02.2014; in: "New Developments in Solid State Physics - Mauterndorf 2014",
(2014),
S. 106
- 107.
-
O. Bethge, C. Zimmermann, B. Lutzer, C. Henkel, E. Bertagnolli:
"ALD-grown Rare Earth Oxides: Effective Passivation of the Germanium Channel in MOS devices";
Vortrag: International Conference on Small Science (ICSS),
Las Vegas, Nevada, USA (eingeladen);
15.12.2013
- 18.12.2013; in: "ICSS 2013 Prgram & Abstracts",
(2013),
S. 218
- 219.
-
J. Mika, H. D. Wanzenböck, K. Schwarz, P. Scholze, E. Bertagnolli:
"Electrical and Morphological Properties of Neurites investigated by a Novel Microelectrode-Microfluidic Device";
Poster: Annual Meeting of the Austrian Neuroscience Association,
Wien;
16.09.2013
- 19.09.2013; in: "Intrinsic Activity, 2013",
(2013),
S. 1.
-
H. D. Wanzenböck, A. Exler, A. Brezina, J. Mika, E. Bertagnolli, E. Engleder, F. Gabor, M. Wirth:
"In vitro characterization of human epithelial tissue utilizing a microelectronic impedance sensor with microfluidic medium supply";
Poster: Annual Meeting of the Austrian Neuroscience Association,
Wien;
16.09.2013
- 19.09.2013; in: "Intrinsic Activity, 2013",
(2013),
S. 1.
-
D. Bachmann, M. Krall, M. Brandstetter, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Progress in THz time-domain spectroscopy of double metal quantum cascade lasers";
Vortrag: ITQW,
New York, USA;
15.09.2013
- 20.09.2013; in: "Technical Digest",
(2013).
-
M. Brandstetter, M. Liertzer, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, S. Rotter:
"Investigating exceptional points with coupled microdisk THz quantum cascade lasers";
Vortrag: ITQW,
New York, USA;
15.09.2013
- 20.09.2013; in: "Technical Digest",
(2013).
-
C. Deutsch, M. Krall, H. Detz, M. Brandstetter, T. Zederbauer, A. M. Andrews, G. Strasser, K. Unterrainer:
"High Performance InGaAs/InP-based Terahertz Quantum Cascade Lasers and Growth-related Asymmetries";
Vortrag: ITQW,
New York, USA;
15.09.2013
- 20.09.2013; in: "Technical Digest",
(2013).
-
M. Krall, C. Deutsch, M. Brandstetter, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Subwavelength terahertz micropillar arrays";
Vortrag: ITQW,
New York, USA;
15.09.2013
- 20.09.2013; in: "Technical Digest",
(2013).
-
D. Bachmann, M. Krall, M. Martl, H. Detz, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Multi-cavity terahertz quantum cascade lasers";
Vortrag: International THz Conference,
Villach, Österreich;
09.09.2013
- 10.09.2013; in: "Technical Digest",
(2013),
ISBN: 978-3-85403-296-0;
2 S.
-
M. Brandstetter, C. Deutsch, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Increasing the active region/waveguide thickness of terahertz quantum cascade lasers using a direct wafer bonding technique";
Vortrag: International THz Conference,
Villach, Österreich;
09.09.2013
- 10.09.2013; in: "Technical Digest",
(2013),
ISBN: 978-3-85403-296-0;
2 S.
-
M. Krall, M. Brandstetter, C. Deutsch, T. Grange, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Prospects and technological challenges of terahertz quantum cascade lasers based on semiconductor nanowires";
Poster: International THz Conference,
Villach, Österreich;
09.09.2013
- 10.09.2013; in: "Technical Digest",
(2013),
ISBN: 978-3-85403-296-0;
1 S.
-
D. Bachmann, M. Martl, H. Detz, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Terahertz spectroscopy of coupled cavity quantum cascade lasers";
Vortrag: Joint Annual Meeting of ÖPG, SPG, ÖGAA und SGAA 2013,
Linz;
02.09.2013
- 06.09.2013; in: "Technical Digest",
(2013).
-
M. Krall, M. Brandstetter, C. Deutsch, T. Grange, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"From photonic crystal to micropillar terahertz quantum cascade lasers and recent progress towards nanowire-based devices";
Vortrag: Joint Annual Meeting of ÖPG, SPG, ÖGAA und SGAA 2013,
Linz;
02.09.2013
- 06.09.2013; in: "Technical Digest",
(2013).
-
J. Mika, H. D. Wanzenböck, P. Scholze, E. Bertagnolli:
"Optical microscopy of artificially isolated axons on microelectronic measurement platform";
Poster: Microscopy Conference,
Regensburg, Deutschland;
25.08.2013
- 30.08.2013; in: "MC 2013 Proceedings",
(2013),
S. 266
- 267.
-
J. Mika, H. D. Wanzenböck, M. Shawrav, M. Gavagnin, B. Ismail, A. Lugstein, C. Zeiner, E. Bertagnolli:
"In-situ chlorine etching of nanowires by focused electron beam - a direct-modification approach for tailoring nanowire properties";
Poster: Microscopy Conference,
Regensburg, Deutschland;
25.08.2013
- 30.08.2013; in: "MC 2013 Proceedings",
(2013),
S. 740
- 741.
-
T. Müller, M. M. Furchi, A. Pospischil, A. Benz, S. Schwarz, H. Detz, K. Unterrainer:
"Cavity enhanced graphene and intersubband detectors";
Vortrag: SPIE Optics + Photonics 2013,
San Diego (eingeladen);
25.08.2013
- 29.08.2013; in: "Organic 3D Photonics Materials and Devices II",
SPIE -The International Society for Optical Engineering,
(2013),
Paper-Nr. 8808-24,
1 S.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, E. Bertagnolli:
"3-dimenstional Processing and Imaging of Gold and Platinum Nanostructures with a SEM";
Poster: Microscopy Conference,
Regensburg, Deutschland;
25.08.2013
- 30.08.2013; in: "MC 2013 Proceedings",
(2013),
S. 422
- 423.
-
Y. Zhang, P. Dobson, J. Weaver, M. Alomari, E. Kohn, S. Bychikhin, D. Pogany:
"Measuring Thermal Conductivity of Nanocrystalline Diamond Film with a Scanning Thermal Microscope";
Vortrag: IEEE Conference on Nanotechnology (NANO),
Birningham, United Kingdom;
20.08.2013
- 23.08.2013; in: "IEEE tnternational Conference on Nanotechnology",
(2013),
S. 1
- 6.
-
M. Brandstetter, C. Deutsch, A. Benz, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Lasers with Wafer Bonded Active Regions";
Poster: GMe Forum 2013,
Vienna;
06.06.2013
- 07.06.2013; in: "Proceedings of the GMe Forum 2013",
(2013),
ISBN: 978-3-901578-27-4.
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Micropillar Arrays of Terahertz Quantum Cascade Emitters Based on InGaAs/GaAsSb";
Poster: GMe Forum 2013,
Vienna;
06.06.2013
- 07.06.2013; in: "Proceedings of the GMe Forum 2013",
(2013),
ISBN: 978-3-901578-27-4.
-
M. Martl, C. Deutsch, M. Krall, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, J. Darmo:
"Multi-Cavity Terahertz Quantum Cascade Laser Systems";
Poster: GMe Forum 2013,
Vienna;
06.06.2013
- 07.06.2013; in: "Proceedings of the GMe Forum 2013",
(2013),
ISBN: 978-3-901578-27-4.
-
M. Capriotti, A. Alexewicz, O. Bethge, D. Visalli, J. Derluyn, C. Fleury, E. Bertagnolli, D. Pogany, G. Strasser:
"AlGaN/GaN MOSHEMTS with selective removal of In-Situ Grown SiN Passivation";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Warnemünde, Deutschland;
26.05.2013
- 29.05.2013; in: "WOCSDICE 2013",
(2013),
S. 67
- 68.
-
C. Fleury, S. Bychikhin, M. Cappriotti, O. Hilt, R. Zhytnytska, J. Würfl, J. Derluyn, D. Visalli, G. Strasser, D. Pogany:
"Localization Of Vertical Breakdown Spots In Normally-Off And Normally-On Algan/gan Hemts On Sic And Si Substrates";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Warnemüde, Deutschland;
26.05.2013
- 29.05.2013; in: "WOCSDICE 2013",
(2013),
ISBN: 978-3-00-041435-0;
S. 159
- 160.
-
C. Fleury, S. Bychikhin, O. Hilt, J. Würfl, G. Strasser, D. Pogany:
"Transient Thermal Mapping Of P-Gan Gate Normally-Off Algan/gan Transistors";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Warnemüde, Deutschland;
26.05.2013
- 29.05.2013; in: "WOCSDICE 2013",
(2013),
ISBN: 978-3-00-041435-0;
S. 69
- 70.
-
P. Lagger, A. Schiffmann, G. Pobegen, D. Pogany, C. Ostermaier:
"New insights on forward Gate Bias induced Threshold Voltage Instabilities of GaN-Based MIS-HEMTS";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Warnemüde, Deutschland;
26.05.2013
- 29.05.2013; in: "WOCSDICE 2013",
(2013),
S. 161
- 162.
-
D. Bachmann, M. Krall, M. Martl, H. Detz, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Multi-cavity terahertz quantum cascade lasers";
Poster: CLEO Europe 2013,
Munich;
12.05.2013
- 16.05.2013; in: "Conference Digest",
(2013),
ISBN: 978-1-4799-0594-2;
Paper-Nr. CC-P.3 SUN,
1 S.
-
M. Brandstetter, M. Liertzer, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, S. Rotter:
"Exceptional points in coupled microdisk THz quantum cascade lasers";
Vortrag: CLEO Europe 2013,
Munich;
12.05.2013
- 16.05.2013; in: "Conference Digest",
(2013),
ISBN: 978-1-4799-0594-2;
Paper-Nr. CB/CC-1.3 MON,
1 S.
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Fabrication and Characterization of Terahertz Emitting GaAs/AlGaAs Micropillar Quantum Cascade Structures in a Double Metal Waveguide";
Vortrag: CLEO Europe 2013,
Munich;
12.05.2013
- 16.05.2013; in: "Conference Digest",
(2013),
ISBN: 978-1-4799-0594-2;
Paper-Nr. CB/CC-1.6 MON,
1 S.
-
J. Franco, B. Kaczer, M. Toledano-Luque, Ph. J. Roussel, G. Groeseneken, B. Schwarz, M. Bina, M. Waltl, P.-J. Wagner, T. Grasser:
"Reduction of the BTI Time-Dependent Variability in Nanoscaled MOSFETs by Body Bias";
Vortrag: International Reliability Physics Symposium (IRPS),
Monterey, CA, USA;
14.04.2013
- 18.04.2013; in: "Proceedings of the International Reliability Physics Symposium (IRPS)",
(2013),
S. 1
- 6.
-
D. Bachmann, D. Dietze, M. Martl, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer, J. Darmo:
"Spectroscopy of coupled terahertz quantum cascade laser systems";
Poster: International Workshop on Optical Terahertz Science and Technology (OTST 2013),
Kyoto, Japan;
01.04.2013
- 05.04.2013; in: "Book of Abstracts",
(2013).
-
H. Detz, C. Deutsch, T. Zederbauer, P. Klang, A. M. Andrews, M. Schuster, W. Schrenk, K. Unterrainer, G. Strasser:
"Symmetric THz Quantum Cascade Lasers as a Tool for Growth Optimization";
Vortrag: European Molecular Beam Epitaxy Workshop,
Levi, Finnland;
10.03.2013
- 13.03.2013; in: "Book of Abstracts",
(2013),
S. 73.
-
A. Benz, S. Campione, M. Krall, S. Schwarz, D. Dietze, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, J. Klem, B. Sinclair, E. A. Shaner, F. Capolino, K. Unterrainer, I. Brener:
"Metamaterials in Applications: Tunable Filters and Resonant Detectors";
Vortrag: 4th International Topical Meeting on Nanophotonics and Metamaterials (Nanometa 2013),
Seefeld, Tirol (eingeladen);
03.01.2013
- 06.01.2013; in: "Conference Digest",
(2013),
ISBN: 2-914771-81-9.
-
P. Lagger, C. Ostermaier, G. Pobegen, D. Pogany:
"Toward understanding the origin of threshold voltage instability of AlGaN/GaN MIS-HEMTs";
Vortrag: IEEE International Electron Devices Meeting (IEDM),
San Francisco, USA;
10.12.2012
- 12.12.2012; in: "International Electron Devices Meeting (IEDM)",
(2012),
S. 1
- 4.
-
H. Moser, M. Brandstetter, A. Genner, E. Mujagic, C. Gmachl, G. Strasser, B. Lendl:
"Time-resolved spectral behavior of ring cavity surface emitting QCLs (RCSE-QCLs)";
Vortrag: International Workshop on Opportunities and Challanges in Mid-infrared Laser-based Gas Sensing, Mirsens2,
Wroclaw, Poland;
18.10.2012
- 20.10.2012; in: "International Workshop on Opportunities and Challanges in Mid-infrared Laser-based Gas Sensing, Mirsens2",
(2012),
S. 41.
-
M. Jurkovic, D. Gregusova, S. Hascik, M. Blaho, K. Cico, V. Palankovski, J. Carlin, N. Grandjean, J. Kuzmik:
"Polarization Engineered Normally-Off GaN/InlN/AlN/GaN HEMT";
Vortrag: International Workshop on Nitride Semiconductors 2012 (INW),
Sapporo, Japan;
14.10.2012
- 19.10.2012; in: "International Workshop on Nitride Semiconductors",
(2012),
2 S.
-
P.-J. Wagner, B. Kaczer, A. Scholten, H. Reisinger, S. Bychikhin, D. Pogany, L.K.J. Vandamme, T. Grasser:
"On the Correlation Between NBTI, SILC, and Flicker Noise";
Vortrag: IEEE International Integrated Reliability Workshop,
California;
14.10.2012
- 18.10.2012; in: "IEEE International Integrated Reliability Workshop Final Report",
(2012),
S. 60
- 64.
-
H. Detz, C. Deutsch, T. Zederbauer, P. Klang, A. M. Andrews, M. Schuster, W. Schrenk, K. Unterrainer, G. Strasser:
"Symmetric InGaAs/GaAsSb Terahertz Quantum Cascade Lasers as a Tool for Interface Analysis";
Vortrag: The 17th International Conference on Molecular Beam Epitaxy (MBE 2012),
Nara, Japan;
23.09.2012
- 28.09.2012; in: "MBE2012",
(2012),
S. 1
- 2.
-
H. Schneider, J. Bhattacharyya, S. Zybell, S. Winnerl, M. Helm, A. M. Andrews, G. Strasser, K. Köhler:
"Free-electron laser spectroscopy of quantum well exciton dynamics";
Vortrag: International Conference on infrared and millimeter waves (IRMMW),
Wollongong, Australia;
23.09.2012
- 28.09.2012; in: "IRMMW-THz 2012",
12
(2012).
-
M. Bina, O. Triebl, B. Schwarz, M. Karner, B. Kaczer, T. Grasser:
"Simulation of Reliability on Nanoscale Devices";
Vortrag: International Conference on Simulation of Semiconductor Processes and Devices (SISPAD),
Denver, CO, USA;
05.09.2012
- 07.09.2012; in: "Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD)",
(2012),
ISBN: 978-0-615-71756-2;
S. 109
- 112.
-
M. Brandstetter, C. Deutsch, A. Benz, G. Cole, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz quantum cascade lasers with wafer bonded active regions";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
02.09.2012
- 06.09.2012; in: "International Quantum Cascade Lasers School & Workshop 2012",
(2012),
ISBN: 978-3-901578-24-3.
-
C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, K. Unterrainer, T. Kubis, G. Strasser:
"Probing growth-induced imperfections with symmetric terahertz quantum cascade laser structures";
Vortrag: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden (eingeladen);
02.09.2012
- 06.09.2012; in: "International Quantum Cascade Lasers School & Workshop 2012",
(2012),
978‐3‐901578‐24‐3;
S. 60.
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Micropillar arrays of terahertz quantum cascade emitters based on InGaAs/GaAsSb";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
02.09.2012
- 06.09.2012; in: "International Quantum Cascade Lasers School & Workshop 2012",
(2012),
ISBN: 978-3-901578-24-3;
S. 159.
-
M. Martl, C. Deutsch, M. Krall, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, J. Darmo:
"Multi-cavity terahertz quantum cascade laser systems";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
02.09.2012
- 06.09.2012; in: "International Quantum Cascade Lasers School & Workshop 2012",
(2012),
ISBN: 978-3-901578-24-3;
S. 160.
-
C. Schwarzer, L. Burgstaller, W. Schrenk, W. Charles, C. Gmachl, G. Strasser:
"Substrate and surface emitting ring cavity quantum cascade lasers";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
02.09.2012
- 06.09.2012; in: "International Quantum Cascade Lasers School & Workshop 2012",
(2012),
S. 128.
-
M. M. Furchi, A. Urich, A. Pospischil, G. Lilley, K. Unterrainer, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, T. Müller:
"Resonant Cavity Enhanced Graphene Photodetectors";
Poster: International Conference on Superlattices, Nanostructures, and Nanodevices (ICSNN2012),
Dresden;
22.07.2012
- 27.07.2012; in: "Technical Digest",
(2012),
S. 198
- 199.
-
A. Brezina, E. Engleder, M. Wirth, H. D. Wanzenböck, E. Bertagnolli:
"Permeability Surveillance system for epithelial cell monolayers";
Poster: International Meeting on Substrate-Integrated Microelectrode Arrays,
Reutlingen, Deutschland;
10.07.2012
- 13.07.2012; in: "Proceedings MEA Meeting 2012",
(2012),
S. 344
- 345.
-
J. Mika, A. Amon, K. Schwarz, M. Stanger, J. Kou, H. D. Wanzenböck, S. Huck, E. Bertagnolli:
"Neural electrical activity measurements of isolated axons using multi-electrode arrays";
Vortrag: International Meeting on Substrate-Integrated Microelectrode Arrays,
Reutlingen, Deutschland;
10.07.2012
- 13.07.2012; in: "Proceedings MEA Meeting 2012",
(2012),
S. 262
- 264.
-
M. Brandstetter, M. Janits, C. Deutsch, M. Martl, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Coupling of THz quantum cascade lasers with microdisk cavities";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
26.06.2012
- 27.06.2012; in: "Technical Digest",
(2012).
-
M. Brandstetter, M. Janits, C. Deutsch, M. Martl, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Coupled microdisk THz quantum cascade lasers";
Vortrag: EOS Topical Meeting on Terahertz & Science Technology (TST 2012),
Prag;
17.06.2012
- 20.06.2012; in: "Technical Digest",
(2012),
ISBN: 978-3-9815022-1-3;
Paper-Nr. 5406,
2 S.
-
R. Gansch, S. Kalchmair, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Stacked Photonic Crystal Slabs as Resonant Cavities for Multicolor Quantum Well Infrared Photodetectors";
Vortrag: QSIP Quantum Structured Infrared Photodetectors,
Cargése, Frankreich;
17.06.2012
- 22.06.2012; in: "QSIP12",
(2012),
S. 48.
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, K. Unterrainer, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"THz emission from InGaAs/GaAsSb micropillar arrays";
Poster: EOS Topical Meeting on Terahertz & Science Technology (TST 2012),
Prag;
17.06.2012
- 20.06.2012; in: "Technical Digest",
(2012),
ISBN: 978-3-9815022-1-3;
Paper-Nr. TST2012_5408_050,
2 S.
-
M. Martl, M. Krall, C. Deutsch, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, J. Darmo:
"THz quantum cascade laser absorption studies with coupled cavities";
Vortrag: EOS Topical Meeting on Terahertz & Science Technology (TST 2012),
Prag;
17.06.2012
- 20.06.2012; in: "Technical Digest",
(2012),
ISBN: 978-3-9815022-1-3;
Paper-Nr. 5310,
2 S.
-
R. Gansch, S. Kalchmair, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Photonic Crystal Slabs for Resonant Cavity Enhancement of Quantum Well Infrared Photodetectors";
Vortrag: International Symposium on Photonic and Electromagnetic Crystal Structures,
Santa Fe, New Mexico, USA;
03.06.2012
- 08.06.2012; in: "PECS -X: 10th International Symposium on Photonic and Electromagnetic Crystal Structures",
(2012),
S. 82
- 83.
-
A. Alexewicz, H. Behmenburg, C. Giesen, M. Heuken, S. Bychikhin, J. Kuzmik, G. Strasser, D. Pogany:
"Thermal analysis and simulation of InAlGaN/AlN GaN HEMTS on Si-Diamond-Si Substrates";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Island of Porquerolles, Frankreich;
30.05.2012
- 01.06.2012; in: "WOCSDICE-EXMATEC 2012",
(2012).
-
P. Marko, A. Alexewicz, O. Hilt, G. Meneghesso, J. Würfl, E. Zanoni, G. Strasser, D. Pogany:
"Random telegraph noise and bursts in reverse-bias-stressed AlGaN/GaN HEMTs";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Island of Porquerolles, Frankreich;
28.05.2012
- 01.06.2012; in: "WOCSDICE-EXMATEC 2012",
(2012),
S. 1
- 2.
-
E. Navickas, M. Gerstl, G. Friedbacher, M. Toney, M. Doebeli, O. Bethge, E. Bertagnolli, F. Kubel, J. Fleig:
"In- and Across-Plane Conductivities of YSZ Thin Films and Their Dependence on the Substrate";
Vortrag: E-MRS Spring Meeting 2012,
Strasbourg;
14.05.2012
- 18.05.2012; in: "Solid State Ionics: Mass and Charge Transport across and along Interfaces of Functional Materials",
(2012).
-
C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, A. Benz, G. Strasser, K. Unterrainer:
"Terahertz Quantum Cascade Lasers with Symmetric Active Regions";
Vortrag: CLEO 2012,
San Jose, California, USA;
06.05.2012
- 11.05.2012; in: "Technical Digest",
(2012),
ISBN: 978-1-55752-943-5;
Paper-Nr. CTh4N.6,
2 S.
-
D. Dietze, A. Benz, G. Strasser, K. Unterrainer, J. Darmo:
"Strong Terahertz Light-Matter Coupling Between Metamaterials and Intersubband Transitions";
Vortrag: CLEO 2012,
San Jose, California, USA;
06.05.2012
- 11.05.2012; in: "Technical Digest",
(2012),
ISBN: 978-1-55752-943-5;
Paper-Nr. QTu3F.4,
2 S.
-
T. Müller, M. M. Furchi, A. Urich, A. Pospischil, G. Lilley, K. Unterrainer, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"New concepts and geometries for graphene-based photodetectors";
Vortrag: CLEO 2012,
San Jose, California, USA;
06.05.2012
- 11.05.2012; in: "Technical Digest",
(2012),
ISBN: 978-1-55752-943-5;
Paper-Nr. JTu1M.5,
2 S.
-
C. Schwarzer, E. Mujagic, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Substrate Emission of Ring Cavity Surface Emitting Quantum Cascade Lasers";
Vortrag: CLEO 2012,
San Jose, California, USA;
06.05.2012
- 11.05.2012; in: "CLEO: 2012",
(2012),
ISBN: 978-1-55752-943-5.
-
H. Detz, C. Deutsch, T. Zederbauer, A. M. Andrews, M. Schuster, P. Klang, A. Benz, W. Schrenk, K. Unterrainer, G. Strasser:
"Symmetric InGaAs/GaAsSb based THz quantum cascade lasers";
Vortrag: GDRI-CNRS workshop: Semiconductor Sources and Detectors of THz Radiation,
Tignes, Frankreich (eingeladen);
24.04.2012
- 27.04.2012; in: "GDR-I Workshop 2012",
(2012),
S. 14
- 15.
-
B. Kaczer, J. Franco, M. Toledano-Luque, Ph. J. Roussel, M. F. Bukhori, A. Asenov, B. Schwarz, M. Bina, T. Grasser, G. Groeseneken:
"The Relevance of Deeply-Scaled FET Threshold Voltage Shifts for Operation Lifetimes";
Vortrag: International Reliability Physics Symposium (IRPS),
Californi, USA;
17.04.2012
- 19.04.2012; in: "Proceedings of the International Reliability Physics Symposium (IRPS)",
(2012),
ISBN: 978-1-4577-1680-5;
6 S.
-
S. Ahn, A. M. Andrews, W. Schrenk, G. Strasser:
"Facet Reflectivity Reduction of Quantum Cascade Lasers by Tilted Facets";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
12.02.2012
- 17.02.2012; in: "17th Int. Winterschool on New Developments in Solid State Physics",
(2012),
S. 229.
-
M. Brandstetter, M. Janits, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Coupling of THz quantum cascade lasers";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
12.02.2012
- 17.02.2012; in: "Proceedings of 17th International Winterschool on New Developments in Solid State Physics",
(2012).
-
H. Detz, T. Zederbauer, P. Klang, A. M. Andrews, M. Nobile, M. Schuster, C. Deutsch, M. Brandstetter, W. Schrenk, K. Unterrainer, G. Strasser:
"Improved InGaAs/GaAsSb MBE Growth of Quantum Cascade Lasers";
Hauptvortrag: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
12.02.2012
- 17.02.2012; in: "Proceedings of 17th International Winterschool on New Developments in Solid State Physics",
(2012),
978‐3‐901578‐24‐3.
-
C. Deutsch, H. Detz, A. M. Andrews, T. Zederbauer, A. Benz, W. Schrenk, G. Strasser, K. Unterrainer:
"InGaAs/GaAsSb: Highly Attractive for Terahertz Quantum Cascade Lasers";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
12.02.2012
- 17.02.2012; in: "Proceedings of 17th International Winterschool on New Developments in Solid State Physics",
(2012).
-
M. M. Furchi, A. Urich, A. Pospischil, G. Lilley, K. Unterrainer, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, T. Müller:
"Graphene-based photodetectors for optical communications";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
12.02.2012
- 17.02.2012; in: "Proceedings of 17th International Winterschool on New Developments in Solid State Physics",
(2012).
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, K. Unterrainer, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Top-down fabrication and characterization of InGaAs/GaAsSb semiconductor nanowires";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
12.02.2012
- 17.02.2012; in: "Proceedings of 17th International Winterschool on New Developments in Solid State Physics",
(2012).
-
A. Benz, M. Brandstetter, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Upper band operation of active photonic crystal terahertz lasers";
Vortrag: SPIE Photonics West 2012,
San Franciso, California, USA;
21.01.2012
- 26.01.2012; in: "Technical Program",
(2012).
-
B. Basnar, M. Litschauer, M.-A. Néouze:
"Imidazolium units to form ionic nanoparticle networks";
Poster: ILMAT 2011 - Ionic Liquids derived Materials,
Institut Français Vienna, Austria;
05.12.2011
- 06.12.2011; in: "ILMAT 2011 - Ionic Liquids derived Materials",
(2011).
Zusätzliche Informationen
-
A. Benz, M. Brandstetter, C. Deutsch, K. Unterrainer, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"novel double-metal resonators for THz quantum-cascade lasers";
Vortrag: TeraNano 2011 & GDR-I THz 2011,
Osaka, Japan (eingeladen);
24.11.2011
- 29.11.2011; in: "Technical Program",
(2011),
S. 140
- 141.
-
J. Darmo, M. Martl, D. Dietze, G. Strasser, K. Unterrainer:
"THz Photonics for Non-destructive Testing";
Vortrag: International THz Conference,
Villach, Österreich (eingeladen);
24.11.2011
- 25.11.2011; in: "Technical Digest",
(2011),
ISBN: 978-3-85403-287-8;
6 S.
-
C. Deutsch, H. Detz, A. Benz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High performance InGaAs/GaAsSb terahertz quantum cascade lasers";
Vortrag: International THz Conference,
Villach, Österreich;
24.11.2011
- 25.11.2011; in: "Conference Program",
(2011),
ISBN: 978-3-85403-287-8;
3 S.
-
M. Martl, C. Deutsch, M. Brandstetter, A. Benz, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer, J. Darmo:
"Analysis of terahertz quantum cascade laser optical properties using a monolithically integrated THz emitter";
Poster: International THz Conference,
Villach, Österreich;
24.11.2011
- 25.11.2011; in: "Conference Program",
(2011),
ISBN: 978-3-85403-287-8;
5 S.
-
S. Chen, A. Griffoni, P. Srivastava, D. Linten, S. Thijs, M. Scholz, D. Marcon, A. Gallerano, D. Lafonteese, A. Concannon, V. Vashchenko, P. Hopper, S. Bychikhin, D. Pogany, M. Van Hove, S. Decoutere, G. Groeseneken:
"HBM ESD Robustness of GaN-on-Si Schottky Diodes";
Vortrag: EOS/ESD Symposium,
Anaheim, USA;
12.09.2011
- 15.09.2011; in: "Eos/esd Symposium",
(2011),
S. 61
- 68.
-
C. Henkel, P. Hellström, M. Östling, O. Bethge, M. Stöger-Pollach, E. Bertagnolli:
"Impact of Oxidation and Reduction Annealing on the Electrical Properties of Ge/La2O3/ZrO2 Gate Stacks";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Helsinki, Finland;
12.09.2011
- 16.09.2011; in: "ESSDERC 2011 - 41st European Solid State Device Research Conference",
(2011),
S. 75
- 78.
-
M. Shrivastava, C. Russ, H. Gossner, S. Bychikhin, D. Pogany, E. Gornik:
"ESD Robust DeMOS Devices in Advanced CMOS Technologies";
Vortrag: EOS/ESD Symposium,
Anaheim, USA;
12.09.2011
- 15.09.2011; in: "Eos/esd Symposium",
(2011),
S. 401
- 410.
-
A. M. Andrews, M. Nobile, H. Detz, P. Klang, T. Zederbauer, W. Schrenk, G. Strasser:
"Growth of Mid-infrared InGaAs/GaAsSb QCLs emitting around 11 µm";
Vortrag: ITQW,
Badesi, Italy;
11.09.2011
- 17.09.2011; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
A. Benz, C. Deutsch, M. Brandstetter, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser, K. Unterrainer:
"Higher band lasing of active photonic crystal terahertz QCLs";
Poster: ITQW,
Badesi, Italy;
11.09.2011
- 17.09.2011; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
H. Detz, J. Silvano de Sousa, M. Schwantzer, C. Deutsch, M. Nobile, T. Zederbauer, P. Klang, A. M. Andrews, W. Schrenk, J. Smoliner, K. Unterrainer, G. Strasser:
"Electronic characterization of InGaAs/GaAsSb heterostructures by magneto transport in resonant tunnelung diodes";
Poster: ITQW,
Badesi, Italy;
11.09.2011
- 17.09.2011; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
C. Deutsch, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Symmetric InGaAs/GaAsSb Terahertz Quantum Cascade Lasers";
Vortrag: ITQW,
Badesi, Italy;
11.09.2011
- 17.09.2011; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
S. Kalchmair, R. Gansch, G. Cole, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Temperature effects in Photonic Crystal Slab Quantum Well Photodetectors";
Poster: ITQW,
Badesi, Italy;
11.09.2011
- 17.09.2011; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. Benz, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer:
"Analysis of the optical properties of terahertz quantum cascade laser with double-metal waveguide";
Vortrag: ITQW,
Badesi, Italy;
11.09.2011
- 17.09.2011; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
C. Schwarzer, E. Mujagic, T. Zederbauer, H. Detz, Y. Yan, A. M. Andrews, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Broadband single-mode and coherently emitting two-dimensional arrays";
Vortrag: ITQW,
Badesi, Italy;
11.09.2011
- 17.09.2011; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
G. Strasser:
"Photonic Crystal QWIP structures";
Vortrag: ITQW,
Badesi, Italy (eingeladen);
11.09.2011
- 17.09.2011; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
T. Zederbauer, C. Deutsch, M. Nobile, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Growth of InGaAs/GaAsSb Heterostructures for MIR and THz Quantum Cascade Lasers";
Poster: 3rd International Workshop on Epitaxial Growth and Fundamental Properties of Semiconductor Nanostructures,
Traunkirchen;
11.09.2011
- 16.09.2011; in: "Program and Abstracts",
(2011),
S. 145.
-
C. Deutsch, A. Benz, H. Detz, P. Klang, M. Nobile, A. Andrews, W. Schrenk, T. Kubis, P. Vogl, G. Strasser, K. Unterrainer:
"InGaAs/GaAsSb Terahertz Quantum Cascade Lasers";
Vortrag: EDISON 17,
Santa Barbara, USA;
07.08.2011
- 12.08.2011; in: "Technical Digest",
(2011),
Paper-Nr. M1.2,
2 S.
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. Benz, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer:
"Probing double metal THz quantum cascade Iaser dynamics by THz time-domain spectroscopy";
Vortrag: EDISON 17,
Santa Barbara, USA;
07.08.2011
- 12.08.2011; in: "Technical Digest",
(2011),
Paper-Nr. Th2.2,
2 S.
-
C. Deutsch, A. Benz, K. Unterrainer, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"135 K Operation of InGaAs/GaAsSb Terahertz Quantum Cascade Lasers";
Vortrag: 15th International Conference on Narrow Gap Systems,
Blacksburg, USA;
01.08.2011
- 05.08.2011; in: "Technical Digest",
(2011).
-
C. Schwarzer, E. Mujagic, T. Zederbauer, H. Detz, Y. Yao, A. M. Andrews, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Two Dimensional Integration of Ring Cavity Surface Emitting Quantum Cascade Lasers";
Vortrag: 15th International Conference on Narrow Gap Systems,
Blacksburg, USA;
01.08.2011
- 05.08.2011; in: "AIP Conference Proceedings",
(2011),
S. 49
- 51.
-
J. Silvano de Sousa, H. Detz, P. Klang, E. Gornik, G. Strasser, J. Smoliner:
"Rashba Effect in NonMagnetic InGaAsGaAsSb Resonant Tunneling Diodes Enhanced By Transverse Magnetic Field";
Vortrag: 15th International Conference on Narrow Gap Systems,
Blacksburg, USA;
01.08.2011
- 05.08.2011; in: "AIP Conference Proceedings",
(2011),
S. 42
- 45.
-
C. Deutsch, H. Detz, M. Nobile, A. Benz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Type II InGaAs/GaAsSb Terahertz Quantum Cascade Lasers";
Vortrag: EP2DS19/MSS15 2011,
Tallahassee, USA;
25.07.2011
- 29.07.2011; in: "Technical Digest",
(2011).
-
H. Dodt, N. Jährling, S. Saghafi, K. Becker:
"Ultramicroscopy: 3D-reconstruction of Drosophila“s inner anatomy with improved optics";
Vortrag: Topical problems of Biophotonics,
Nizhni Novorod, Russia;
16.07.2011
- 22.07.2011; in: "Proceedings",
(2011),
S. 18
- 19.
-
B. Basnar, M. Litschauer, S. Abermann, E. Bertagnolli, G. Strasser, M.-A. Néouze:
"Analysing nanoparticle monolayers covalently linked to silicon substrates";
Vortrag: 16. Tagung Festkörperanalytik,
Wien;
04.07.2011
- 06.07.2011; in: "Kurzfassungen",
Sproinger,
(2011),
S. P1.
-
M. Litschauer, B. Basnar, M.-A. Néouze:
"Pseudo-click chemistry to build Ionic Nanoparticle Networks: A way toward metamaterials";
Vortrag: 10th International Conference on Materials Chemistry (MC10),
University of Manchester, Manchester, United Kingdom;
04.07.2011
- 07.07.2011; in: "10th International Conference on Materials Chemistry (MC10) - Book of Abstracts",
(2011),
S. ATN_O22.
Zusätzliche Informationen
-
D. Pogany, C. Zeiner, S. Bychikhin, T. Burchhart, A. Lugstein, L.K.J. Vandamme:
"RTS and 1/f noise in Ge nanowire transistors";
Vortrag: International Conference on Noise and Fluctuations (ICNF),
Toronto, Ontario, Canada;
12.06.2011
- 16.06.2011; in: "Proc. Int. Conf. on Noise and Fluctuations",
(2011),
S. 372
- 375.
-
A. Alexewicz, C. Ostermaier, C. Henkel, O. Bethge, J. Carlin, M. Gonschorek, N. Grandjean, D. Pogany, E. Bertagnolli, G. Strasser:
"Threshold Voltage Scaling In E-Mode Inaln/aln-Gan Hemts On Si Substrates";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Catania, Italien;
29.05.2011
- 01.06.2011; in: "WOCSDICE 2011",
(2011),
S. 1
- 2.
-
A. Benz, C. Deutsch, M. Brandstetter, A. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser, K. Unterrainer:
"Active photonic crystal terahertz laser operating in upper bands";
Vortrag: CLEO Europe 2011,
Munich, Germany;
22.05.2011
- 26.05.2011; in: "EQEC 2011 - Conference Digest",
(2011),
ISBN: 978-1-4577-0532-8;
Paper-Nr. CC1.4 SUN,
1 S.
-
M. Brandstetter, A. Benz, C. Deutsch, K. Unterrainer, P. Klang, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser:
"Superconducting waveguides for terahertz quantum cascade lasers";
Vortrag: CLEO Europe 2011,
Munich, Germany;
22.05.2011
- 26.05.2011; in: "EQEC 2011 - Conference Digest",
(2011),
ISBN: 978-1-4577-0532-8;
Paper-Nr. CC.P.4 SUN,
1 S.
-
C. Deutsch, H. Detz, A. Benz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Progress on InGaAs/GaAsSb based Terahertz Quantum Cascade Lasers";
Vortrag: CLEO Europe 2011,
Munich, Germany;
22.05.2011
- 26.05.2011; in: "EQEC 2011 - Conference Digest",
(2011),
ISBN: 978-1-4577-0532-8;
Paper-Nr. CC2.1 SUN,
1 S.
-
D. Dietze, J. Darmo, G. Strasser, K. Unterrainer:
"Metasurfaces Coupled to Terahertz Intersubband Transitions";
Vortrag: CLEO Europe 2011,
Munich, Germany;
22.05.2011
- 26.05.2011; in: "EQEC 2011 - Conference Digest",
(2011),
ISBN: 978-1-4577-0532-8;
Paper-Nr. CC2.2 SUN,
1 S.
-
M. Martl, J. Darmo, D. Dietze, C. Deutsch, M. Brandstetter, A. Benz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Time Domain Spectroscopy of Coupled Cavity THz Quantum Cascade Lasers with Metal-MetalWaveguide";
Vortrag: CLEO Europe 2011,
Munich, Germany;
22.05.2011
- 26.05.2011; in: "EQEC 2011 - Conference Digest",
(2011),
ISBN: 978-1-4577-0532-8;
Paper-Nr. CC1.2 SUN,
1 S.
-
A. Benz, C. Deutsch, M. Brandstetter, K. Unterrainer, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser:
"Active photonic crystal terahertz laser operating in higher bands";
Vortrag: CLEO 2011,
Baltimore, USA;
01.05.2011
- 06.05.2011; in: "Technical Digest",
(2011),
ISBN: 978-1-55752-910-7;
Paper-Nr. CThE3,
2 S.
-
H. Detz, C. Deutsch, M. Nobile, P. Klang, A. M. Andrews, C. Schwarzer, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb Terahertz Quantum Cascade Lasers";
Vortrag: CLEO 2011,
Baltimore;
01.05.2011
- 06.05.2011; in: "Technical Digest",
(2011),
ISBN: 978-1-55752-910-7;
Paper-Nr. CMF5,
2 S.
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. Benz, A. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Metal-Metal THz Quantum Cascade Laser Gain and Loss Investigated by THz Time Domain Spectroscopy";
Vortrag: CLEO 2011,
Baltimore, USA;
01.05.2011
- 06.05.2011; in: "Technical Digest",
(2011),
ISBN: 978-1-55752-910-7;
Paper-Nr. CThE2,
2 S.
-
M. Brandstetter, A. Benz, C. Deutsch, K. Unterrainer, P. Klang, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser:
"THz Quantum Cascade Lasers with Superconducting Double-Metal Waveguides";
Poster: GMe Forum 2011,
Vienna, Austria;
14.04.2011
- 15.04.2011; in: "Proceedings of the GMe Forum 2011",
(2011),
ISBN: 978-3-901578-23-6;
1 S.
-
M. Martl, D. Dietze, J. Darmo, C. Deutsch, A. Benz, M. Brandstetter, K. Unterrainer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, E. Gornik:
"Investigation of Double Metal THz Quantum Cascade Lasers by Terahertz Time-Domain Spectroscopy";
Poster: GMe Forum 2011,
Vienna, Austria;
14.04.2011
- 15.04.2011; in: "Proceedings of the GMe Forum 2011",
(2011),
ISBN: 978-3-901578-23-6;
2 S.
-
A. Benz, M. Brandstetter, C. Deutsch, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Superconducting waveguides for terahertz quantum-cascade laser";
Vortrag: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
13.03.2011
- 17.03.2011; in: "International Workshop on Optical Terahertz Science and Technology-Workshop Program",
(2011),
Paper-Nr. MF39,
1 S.
-
C. Deutsch, A. Benz, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"InGaAs/GaAsSb Terahertz Quantum Cascade Lasers operating up to 135 K";
Vortrag: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
13.03.2011
- 17.03.2011; in: "Workshop Program",
(2011),
Paper-Nr. MD3,
1 S.
-
D. Dietze, J. Darmo, G. Strasser, K. Unterrainer:
"Terahertz Intersubband Transitions Coupled to Metasurfaces";
Vortrag: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
13.03.2011
- 17.03.2011; in: "International Workshop on Optical Terahertz Science and Technology-Workshop Program",
(2011),
Paper-Nr. WE4,
1 S.
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer:
"Terahertz Time Domain Spectroscopy of Metal-Metal THz Quantum Cascade Lasers";
Vortrag: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
13.03.2011
- 17.03.2011; in: "International Workshop on Optical Terahertz Science and Technology-Workshop Program",
(2011),
Paper-Nr. MD5,
1 S.
-
M. Litschauer, M. Czakler, B. Basnar, M. Puchberger, H. Peterlik, M.-A. Néouze:
"Building and tailoring ionic nanoparticle networks";
Poster: Second International Conference on Multifunctional, Hybrid and Nanomaterials,
Strasbourg, France;
06.03.2011
- 10.03.2011; in: "Hybrid Materials 2011 - Programme",
Elsevier,
(2011),
1 S.
Zusätzliche Informationen
-
M. Brandstetter, A. Benz, C. Deutsch, K. Unterrainer, P. Klang, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser:
"Superconducting waveguides for THz quantum cascade lasers";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
19.01.2011
- 20.01.2011; in: "Conference Digest",
(2011),
1 S.
-
C. Deutsch, H. Detz, M. Nobile, A. Benz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade lasers with InGaAs/GaAsSb active regions";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
19.01.2011
- 20.01.2011; in: "Conference Digest",
(2011),
1 S.
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer:
"Experimental Evaluation of Metal-Metal Terahertz Quantum Cascade Laser Gain and Loss by Terahertz Time-Domain Spectroscopy";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
19.01.2011
- 20.01.2011; in: "Conference Digest",
(2011),
1 S.
-
G. Strasser, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk:
"InGaAs/GaAsSb/InP Quantum Cascade Lasers";
Vortrag: 41th Winter Colloquium on the Physics of Quantum Electronics,
Snowbird, Utah, USA (eingeladen);
02.01.2011
- 06.01.2011; in: "PQE-2011",
(2011),
S. 245.
-
C. Schwarzer, E. Mujagic, T. Zederbauer, H. Detz, Y. Yao, A. M. Andrews, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Two Dimensional Integration of Ring Cavity Surface Emitting Quantum Cascade Lasers";
Poster: International Conference on Physics of Semiconductor (ICPS),
Soul, Korea;
25.07.2010
- 30.07.2010; in: "AIP Conference Proceedings",
(2011),
S. 49
- 51.
-
C. Deutsch, A. Benz, K. Unterrainer, P. Klang, H. Detz, M. Nobile, A. M. Andrews, W. Schrenk, G. Strasser:
"A new material system for terahertz quantum cascade lasers: InGaAs/GaASb";
Vortrag: EOS Annual Meeting 2010 (EOSAM 2010),
Paris, Frankreich;
26.10.2010
- 29.10.2010; in: "Book of Abstracts",
(2010),
ISBN: 978-3-00-030509-2;
2 S.
-
M. Martl, J. Darmo, D. Dietze, C. Deutsch, A. Benz, M. Brandstetter, K. Unterrainer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, E. Gornik:
"Broadband Terahertz Pulse Propagation in Subwavelength Size Quantum Cascade Laser Waveguides";
Vortrag: EOS Annual Meeting 2010 (EOSAM 2010),
Paris, Frankreich;
26.10.2010
- 29.10.2010; in: "Book of Abstracts",
(2010),
ISBN: 978-3-00-030509-2;
2 S.
-
S. Saghafi, K. Becker, N. Jährling, H. Dodt:
"Image improvement by a new light sheet generation system in Ultramicroscopy";
Vortrag: EOS Annual Meeting 2010 (EOSAM 2010),
Paris, Frankreich;
26.10.2010
- 29.10.2010; in: "EOS Annual Meeting 2010 (EOSAM 2010)",
(2010),
ISBN: 978-3-00-030509-2;
S. 1
- 2.
-
J. Kuzmik, C. Ostermaier, G. Pozzovivo, B. Basnar, W. Schrenk, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean, Y. Douvry, C. Gaquière, J. De Jaeger, G. Strasser, D. Pogany, E. Gornik:
"Role of the gate-to-drain distance in the performance of the normally-off InAlN/GaN HEMTs";
Vortrag: International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM),
Smolenice, Slovakia;
25.10.2010
- 27.10.2010; in: "ASDAM 2010",
(2010),
S. 163
- 166.
-
S. Waid, S. Kutkurezovic, H. D. Wanzenböck, E. Bertagnolli, M. Mühlberger, R. Schöftner:
"Curved And Sloped Nil Stamps Fib As Versatile Approach Towards Complex 3d-Nil Stamps";
Poster: 9th International Conference on Nanoimprint and Nanoprint,
Kopenhagen;
13.10.2010
- 15.10.2010; in: "9th International Conference on Nanoimprint and Nanoprint",
(2010).
-
S. Waid, H. D. Wanzenböck, E. Bertagnolli, M. Mühlberger, R. Schöftner:
"Impact Of The Stamp Sidewall-Inclination On The Replication Of Structures";
Poster: 9th International Conference on Nanoimprint and Nanoprint,
Kopenhagen;
13.10.2010
- 15.10.2010; in: "9th International Conference on Nanoimprint and Nanoprint",
(2010).
-
S. Waid, H. D. Wanzenböck, G. Hobler, T. Zahel, E. Bertagnolli, M. Mühlberger, R. Schöftner:
"Topography Extraction Of 3d Structures Through Afm Of Nanoimprints";
Vortrag: 9th International Conference on Nanoimprint and Nanoprint,
Kopenhagen;
13.10.2010
- 15.10.2010; in: "9th International Conference on Nanoimprint and Nanoprint",
(2010).
-
J. Rhayem, B. Besbes, R. Blecic, S. Bychikhin, G. Haberfehlner, D. Pogany, B. Desoete, R. Gillon, A. Wieers, M. Tack:
""Electro-thermal characterization and simulation of integrated multi trenched XtreMOS power devices";
Vortrag: Workshop on Thermal Investigations of ICs and Systems (THERMINIC),
Barcelona, Spanien;
06.10.2010
- 08.10.2010; in: "Proc. THERMINIC 2010",
(2010),
S. 140
- 143.
-
A. M. Andrews, P. Klang, H. Detz, M. Nobile, C. Deutsch, A. Benz, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb/InP MIR and THz Quantum Cascade Lasers grown by MBE";
Vortrag: North American Conf. on Molecular Beam Epitaxy (NAMBE),
Colorado, USA;
26.09.2010
- 29.09.2010; in: "NAMBE",
(2010),
S. 17.
-
S. Kalchmair, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Direct Measurement of Buided Resonances in a Photonic Crystal Slab by Quantum Well Photodetector";
Poster: International Conference on Photonic and Electromagnetic Crystal Structures,
Granada, Spanien;
26.09.2010
- 30.09.2010; in: "PECS-IX 2010",
(2010),
S. 276.
-
C. Deutsch, A. Benz, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"InGaAs/GaAsSb/UnP Terahertz quantum cascade lasers";
Vortrag: European Semiconductor Laser Workshop 2010,
University of Pavia, Italien;
24.09.2010
- 25.09.2010; in: "Book of Abstracts",
(2010),
S. 8.
-
P. Klang, H. Detz, A. M. Andrews, D. Kriegner, J. Stangl, G. Bauer, A. Lugstein, W. Schrenk, G. Strasser:
"X-Rax Structurqal Study of GaAs Nanowires on Si";
Poster: 10th Biennial Conference on High Resolution X-Ray Diffraction and Imaging,
Warwick, England;
20.09.2010
- 23.09.2010; in: "XTOP 2010",
(2010),
S. 71.
-
A. Alexewicz, C. Ostermaier, G. Pozzovivo, W. Schrenk, M. Schmid, L. Toth, B. Pecz, J. Carlin, M. Gonschorek, N. Grandjean, J. Kuzmik, D. Pogany, G. Strasser:
"Microstructural and Electrical Analyses of Oxygen Diffusion into Iridium Metal Gates";
Poster: 60th Annual Meeting Austrian Physical Society,
Salzburg;
06.09.2010
- 10.09.2010; in: "60th Annual Meeting Austrian Physical Society",
(2010),
S. 180
- 181.
-
A. M. Andrews, M. Nobile, C. Deutsch, P. Klang, H. Detz, A. Benz, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb/InP Material System for MIR and THz Quantum Cascade Lasers";
Vortrag: 60th Annual Meeting Austrian Physical Society,
Salzburg (eingeladen);
06.09.2010
- 10.09.2010; in: "60th Annual Meeting Austrian Physical Society",
(2010),
S. 108
- 109.
-
A. Ehsani, S. Saghafi, M. Goranneviss, M. Hantezadeh, P. Seyf, H. Dodt:
"Optical Modifications of SiO2 Thin Film coated on Polycarbonate caused by VIS-IR Laser Illumination";
Poster: 60th Annual Meeting Austrian Physical Society,
Salzburg;
06.09.2010
- 10.09.2010; in: "60th Annual Meeting Austrian Physical Society",
(2010).
-
R. Gansch, S. Kalchmair, G. Strasser:
"Numerical Study of Photonic Crystal SLAB based Quantum Well Infrared Photodetectors";
Poster: 60th Annual Meeting Austrian Physical Society,
Salzburg;
06.09.2010
- 10.09.2010; in: "60th Annual Meeting Austrian Physical Society",
(2010),
S. 183.
-
R. Meisels, O. Glushko, S. Kalchmair, G. Strasser:
"Resonant Polarization Conversion and Extraordinary Transmission in Photonic Crystal Slabs Covered with Metal";
Poster: 60th Annual Meeting Austrian Physical Society,
Salzburg;
06.09.2010
- 10.09.2010; in: "60th Annual Meeting Austrian Physical Society",
(2010),
S. 187
- 189.
-
T. Moldaschl, W. Parz, T. Müller, S. Golka, G. Strasser, K. Unterrainer:
"Two-Photon Excitation of InAs/gAsS Quantum Dots";
Vortrag: 60th Annual Meeting Austrian Physical Society,
Salzburg;
06.09.2010
- 10.09.2010; in: "60th Annual Meeting Austrian Physical Society",
(2010),
S. 111
- 112.
-
W. Parz, R. Haddad, T. Müller, G. Strasser, K. Unterrainer:
"Femto-second Spectroscopy: Measuring the Beat of a Quantum Cascade Laser";
Vortrag: 60th Annual Meeting Austrian Physical Society,
Salzburg (eingeladen);
06.09.2010
- 10.09.2010; in: "Book of Abstracts",
(2010),
S. 25.
-
R. Penjweini, F. Mohajer, A. Geranmayeh, K. Kratky, S. Saghafi:
"Characterizing the Effects of Combinations of Visible-Infrared Laser Beam and Blue/Red-Led-Arrays on Albican Candida and Pityriasis Versicolor Distruction";
Vortrag: 60th Annual Meeting Austrian Physical Society,
Salzburg;
06.09.2010
- 10.09.2010; in: "60th Annual Meeting Austrian Physical Society",
(2010),
S. 126
- 127.
-
T. Zederbauer, E. Mujagic, C. Schwarzer, G. Strasser:
"Analytical and Numerical Study on Surface Emitting Ring Lasers";
Poster: 60th Annual Meeting Austrian Physical Society,
Salzburg;
06.09.2010
- 10.09.2010; in: "60th Annual Meeting Austrian Physical Society",
(2010),
S. 194
- 195.
-
A. Benz, M. Brandstetter, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"THz photonic crystal quantum-cascade lasers: Frequency tuning during lasing operation";
Poster: 35th International Conference on Infrared, Millimeter and Terahertz Waves,
Rome, Italien;
05.09.2010
- 10.09.2010; in: "Book of Abstracts",
(2010),
ISBN: 978-1-4244-6656-6;
2 S.
-
A. Benz, M. Brandstetter, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"THz quantum-cascade lasers with superconducting waveguides";
Poster: 35th International Conference on Infrared, Millimeter and Terahertz Waves,
Rome, Italien;
05.09.2010
- 10.09.2010; in: "Book of Abstracts",
(2010),
ISBN: 978-1-4244-6656-6;
2 S.
-
C. Deutsch, A. Benz, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz Quantum Cascade Laser in the InGaAs/GaAsSb Material System";
Vortrag: 35th International Conference on Infrared, Millimeter and Terahertz Waves,
Rom, Italien;
05.09.2010
- 10.09.2010; in: "Book of Abstracts",
(2010),
ISBN: 978-1-4244-6656-6;
2 S.
-
M. Martl, J. Darmo, D. Dietze, C. Deutsch, A. Benz, M. Brandstetter, K. Unterrainer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, E. Gornik:
"Terahertz Spectroscopy of Double Metal Quantum Cascade Structures";
Vortrag: 35th International Conference on Infrared, Millimeter and Terahertz Waves,
Rom, Italien;
05.09.2010
- 10.09.2010; in: "Book of Abstracts",
(2010),
ISBN: 978-1-4244-6656-6;
2 S.
-
E. Mujagic, C. Schwarzer, Y. Yao, J. Chen, C. Gmachl, G. Strasser:
"Ring cavity surface emitting quantum cascade lasers as a building block for two-dimensional arrays";
Vortrag: 10th International Conference on Mid-Infrared Optoelectronics: Materials and Devices (MIOMD),
Shanghai;
05.09.2010
- 09.09.2010; in: "Program and Absracts",
(2010),
S. 46.
-
C. Schwarzer, E. Mujagic, Y. Yao, J. Chen, C. Gmachl, E. Gornik:
"Coupling strategies for two dimensional coherent semiconductor arrays";
Vortrag: 35th International Conference on Infrared, Millimeter and Therahertz Waves,
Rome, Italien;
05.09.2010
- 10.09.2010; in: "Conference Guide",
(2010),
S. 28.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Tuning of Photonic Crystal Quantum-Cascade Lasers";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
30.08.2010
- 03.09.2010; in: "Book of Abstracts",
(2010),
2 S.
-
M. Brandstetter, A. Benz, C. Deutsch, K. Unterrainer, P. Klang, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser:
"THz quantum cascade lasers with superconducting niobium double-metal waveguides";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
30.08.2010
- 03.09.2010; in: "Book of Abstracts",
(2010),
S. 1
- 2.
-
C. Deutsch, A. Benz, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Laser realized in the InGaAs/GaAsSb Material System";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
30.08.2010
- 03.09.2010; in: "Book of Abstracts",
(2010),
1 S.
-
M. Martl, D. Dietze, J. Darmo, C. Deutsch, A. Benz, M. Brandstetter, K. Unterrainer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, E. Gornik:
"Investigation of Double Metal THz Quantum Cascade Lasers by Terahertz Time-domain Spectroscopy";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
30.08.2010
- 03.09.2010; in: "Book of Abstracts",
(2010),
1 S.
-
W. Parz, D. Dietze, C. Deutsch, A. Benz, J. Darmo, T. Müller, G. Fasching, A. M. Andrews, G. Strasser, K. Unterrainer:
"Phase-resolved time domain studies of quantum cascade lasers";
Vortrag: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien (eingeladen);
30.08.2010
- 03.09.2010; in: "Book of Abstracts",
(2010),
1 S.
-
M. Martl, D. Dietze, W. Parz, C. Deutsch, A. Benz, J. Darmo, T. Müller, G. Fasching, A. M. Andrews, G. Strasser, K. Unterrainer:
"Phase-resolved THz spectroscopy";
Vortrag: International Conference on Coherent and Nonlinear Optics (ICONO 2010),
Kazan, Russland (eingeladen);
23.08.2010
- 26.08.2010; in: "Book of Abstracts",
(2010),
S. 23.
-
M. Martl, D. Dietze, W. Parz, C. Deutsch, A. Benz, J. Darmo, T. Müller, G. Fasching, A. M. Andrews, G. Strasser, K. Unterrainer:
"Phase-resolved Thz time domain studies of quantum cascade lasers";
Vortrag: 10th International Workshop on Nonlinear Optics and Excitation Kinetics in Semiconductors,
Paderborn, Deutschland (eingeladen);
16.08.2010
- 19.08.2010; in: "Book of Abstracts",
(2010),
S. 16.
-
C. Deutsch, E. Mujagic, A. Benz, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser, K. Unterrainer:
"Surface Emitting Terahertz Quantum Cascade Ring Lasers";
Vortrag: SPIE Optics+Photonics 2010,
San Diego, USA;
01.08.2010
- 05.08.2010; in: "Technical Program",
(2010),
1 S.
-
H. Detz, A. M. Andrews, M. Nobile, P. Klang, E. Mujagic, G. Hesser, W. Schrenk, G. Strasser:
"InGaAs/GaAsSb - An Aluminum-free material combination for optoelectronic intersubband devices";
Poster: International Conference on Physics of Semiconductor (ICPS),
Korea, Seoul;
25.07.2010
- 30.07.2010; in: "ICPS2010",
(2010),
S. 147.
-
K. Becker, G. Schneider, M. Eder, A. Ranft, E. Kochs, W. Zieglgänsberger, H. Dodt:
"Anaesthesia monitoring by recurrence quantification analysis of EEG data";
Poster: 7th Fens Forum of European Neuroscience,
Amsterdam;
03.07.2010
- 07.07.2010; in: "7th Fens Forum of European Neuroscience",
(2010).
-
A. Benz, C. Deutsch, W. Parz, G. Fasching, T. Müller, J. Darmo, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Thz Quantum Cascade Lasers: Confinement and Dynamics";
Vortrag: International Workshop on Terahertz Spectroscopy and its high-field applications,
Dresden-Rossendorf, Deutschland (eingeladen);
14.06.2010
- 15.06.2010; in: "Book of Abstract",
(2010),
S. 22.
-
P. Klang, H. Detz, A. M. Andrews, D. Kriegner, J. Stangl, G. Bauer, A. Lugstein, W. Schrenk, G. Strasser:
"Structural Analysis of GaAs/Si Nanowires";
Vortrag: STRUKTURA - Materials Structure in Chemistry, Biology, Physics and Technology,
Solan;
14.06.2010
- 17.06.2010; in: "Materials Structure in Chemistry, Biology, Phxsics and Technology",
(2010),
S. 95.
-
G. Hochleitner, M. Hörtlackner, P. Rödiger, H. D. Wanzenböck, E. Bertagnolli:
"Experimental evaluation of gas-flux distribution with gas injection systems for focused beam induced deposition";
Vortrag: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Anchorage, Alaska;
01.06.2010
- 04.06.2010; in: "EIPBN Abstracts",
(2010).
-
G. Hochleitner, M. Hörtlackner, P. Rödiger, H. D. Wanzenböck, E. Bertagnolli:
"Thermally assisted focused electron beam induced deposition";
Vortrag: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Anchorage, Alaska;
01.06.2010
- 04.06.2010; in: "EIPBN Abstracts",
(2010),
S. 1
- 2.
-
G. Hochleitner, A. Lugstein, P. Rödiger, H. D. Wanzenböck, E. Bertagnolli:
"Nanowire Synthesis on catalyst arrays produced with electron beam induced deposition";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Anchorage, Alaska;
01.06.2010
- 04.06.2010; in: "EIPBN Abstracts",
(2010).
-
B. Basnar, E. Mujagic, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Light-Induced Tuning of Quantum Cascade Lasers";
Vortrag: CLEO 2010,
San Jose, California, USA;
16.05.2010
- 21.05.2010; in: "Book of Abstract",
(2010),
2 S.
-
E. Mujagic, C. Schwarzer, M. Nobile, H. Detz, S. Ahn, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Reduced Threshold and High Temperature Operation in Single-Mode Ring Cavity Surface Emitting Quantum Cascade Lasers";
Vortrag: CLEO/QELS,
San Jose, USA;
16.05.2010
- 21.05.2010; in: "Cleo/qels : 2010",
(2010).
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Intracavity gas sensing using quantum cascade lasers with chromic transducers";
Vortrag: International workshop on opportunities and challenges in mid-infrared laser-based gas sensing,
Wroclaw, Poland;
06.05.2010
- 08.05.2010; in: "Book of Abstracts",
(2010),
S. 39
- 40.
-
M. Shrivastava, S. Bychikhin, D. Pogany, J. Schneider, M. Shojaei, H. Gossner, E. Gornik, V. Ramgopal Rao:
"On the differences between 3D filamentation and failure of N & P type drain extended MOS devices under ESD conditions";
Vortrag: International Reliability Physics Symposium,
Anaheim, California;
02.05.2010
- 06.05.2010; in: "Proc. Int. Reliability Physics Symposium",
(2010),
S. 480
- 484.
-
A. M. Andrews, H. Detz, P. Klang, M. Nobile, E. Mujagic, W. Schrenk, G. Strasser, G. Hesser:
"The Al-free InGaAs/GaAsSb/InP Materials System for Unipolar Devices";
Poster: Informationstagung Mikroelektronik ME10,
Wien;
07.04.2010
- 08.04.2010; in: "Tagungsband zur Informationstagung Mikroelektronik",
(2010),
ISBN: 978-3-85133-055-7;
S. 49
- 54.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Terahertz microresonators based on gain photonic crystals";
Poster: Informationstagung Mikroelektronik ME10,
Wien, Österreich;
07.04.2010
- 08.04.2010; in: "Tagungsband",
OVE Österreichischer Verband für Elektrotrechnik,
56
(2010),
ISBN: 978-3-85133-055-7;
S. 78
- 81.
-
N. Jährling, K. Becker, C. Schönbauer, F. Schnorrer, H. Dodt:
"Analysis of Drosophilas anatomy utilising ultramicroscopy";
Vortrag: Junior Scientist Conference 2010 (JSC 2010),
Wien;
07.04.2010
- 09.04.2010; in: "Junior Scientist Conference",
(2010),
S. 339
- 340.
-
D. Kriegner, M. Keplinger, J. Stangl, G. Bauer, P. Klang, A. M. Andrews, H. Detz, G. Strasser:
"X-Ray diffraction investigation of GaAs and Si/GaAs branched nanowires";
Poster: Informationstagung Mikroelektronik ME10,
Wien;
07.04.2010
- 08.04.2010; in: "Tagungsband zur Informationstagung Mikroelektronik",
(2010),
ISBN: 978-3-85133-055-7;
S. 95
- 98.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik, W. Parz:
"Terahertz Waveguide Emitters for the Investigation of Subwavelength Photonic Structures";
Poster: Informationstagung Mikroelektronik ME10,
Wien, Österreich;
07.04.2010
- 08.04.2010; in: "Book of Abstract",
(2010),
ISBN: 978-3-85133-055-7;
S. 32
- 36.
-
W. Molnar, C. Bauch, P. Pongratz, A. Lugstein, E. Bertagnolli:
"Si-NW syntehesis by using octachlorotrisilange as novel precursor";
Poster: Junior Scientist Conference 2010 (JSC 2010),
Wien;
07.04.2010
- 09.04.2010; in: "Junior Scientist Conference 2010 Proceedings",
(2010),
S. 241
- 242.
-
E. Mujagic, C. Schwarzer, H. Detz, M. Nobile, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, C. Deutsch, K. Unterrainer:
"Ring cavities for surface emitting quantum cascade lasers";
Poster: Informationstagung Mikroelektronik ME10,
Wien;
07.04.2010
- 08.04.2010; in: "Tagungsband zur Informationstagung Mikroelektronik",
(2010),
ISBN: 978-3-85133-055-7;
S. 73
- 77.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, Y. Douvry, C. Gaquière, J. De Jaeger, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany, E. Gornik, J. Kuzmik:
"A Novel Concept of High Performance FETs for Harsh Environment";
Poster: Informationstagung Mikroelektronik ME10,
Wien;
07.04.2010
- 08.04.2010; in: "Tagungsband zur Informationstagung Mikroelektronik 10",
(2010),
ISBN: 978-3-85133-055-7;
S. 41
- 44.
-
W. Parz, T. Müller, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Femto second pulse propagation in mid- infrared quantum cascade lasers";
Poster: Informationstagung Mikroelektronik ME10,
Wien, Österreich;
07.04.2010
- 08.04.2010; in: "Tagungsband",
OVE Österreichischer Veband für Elektrotechnik,
56
(2010),
ISBN: 978-3-85133-055-7;
S. 87
- 90.
-
C. Schwarzer, E. Mujagic, H. Detz, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Coherent Coupling of Ring Cavity Quantum Cascade Lasers";
Poster: Junior Scientist Conference 2010 (JSC 2010),
Wien;
07.04.2010
- 09.04.2010; in: "Proceedings",
(2010),
S. 159
- 160.
-
J. Rhayem, A. Vrbicky, R. Blebic, P. Malena, D. Pogany, S. Bychikhin, A. Wieers, A. Baric:
"New methodology on electro-thermal characterization and modeling of large power drivers using lateral PNP BJTs";
Vortrag: Eurosime 2010,
Bordeaux, France;
01.04.2010
- 04.04.2010; in: "Proc. Eurosime 2010",
(2010),
S. 1
- 4.
-
H. Köck, R. Illing, T. Ostermann, S. Decker, D. Dibra, G. Pobegen, S. de Filippis, M. Glavanovics, D. Pogany:
"Design of a test chip with small embedded temperature sensor structures realized in a common-drain power trench technology";
Vortrag: 2011 IEEE Conference on Microelectronic Test Structures,
Niederlande;
22.03.2010
- 25.03.2010; in: "2011 IEEE Conference on Microelectronic Test Structures",
(2010),
S. 176
- 181.
-
M. Pfost, D. Costachescu, A. Podgaynaya, M. Stecher, S. Bychikhin, D. Pogany, E. Gornik:
"Small embedded sensors for accurate temperature measurements in DMOS power transistors";
Vortrag: International Conference on Microelectronic Test Structures (ICMTS 2010),
Hiroshima;
22.03.2010
- 25.03.2010; in: "Proc. ICMTS 2010",
(2010),
S. 2
- 6.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Gain photonic crystal resonators for THz qauntum-cascade lasers";
Poster: DPG Spring Meeting,
Regensburg, Deutschland;
21.03.2010
- 26.03.2010; in: "Verhandlungen der Deutschen Physikalischen Gesellschaft",
(2010),
ISSN: 0420-0195;
S. 382.
-
K. Unterrainer, W. Parz, T. Moldaschl, A. Benz, G. Fasching, A. M. Andrews, G. Strasser:
"Nanostructures for Novel Quantum Cascade Structures";
Hauptvortrag: DPG Spring Meeting,
Regensburg, Deutschland (eingeladen);
21.03.2010
- 26.03.2010; in: "Verhandlungen der Deutschen Physikalischen Gesellschaft",
(2010),
ISSN: 0420-0195;
S. 212.
-
S. Ahn, E. Mujagic, M. Nobile, H. Detz, S. Kalchmair, C. Schwarzer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Electronic beam steering of phase locked quantum cascade laser";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "16th International Winterschool Mauterndorf",
(2010),
S. 184
- 185.
-
A. M. Andrews, H. Detz, P. Klang, M. Nobile, E. Mujagic, W. Schrenk, G. Strasser:
"Al-free Quantum Well Infrared Photodetectors and Quantum Cascade Lasers based on the InGaAs/GaAsSb Material System";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "16th International Winterschool Mauterndorf",
(2010),
S. 188
- 189.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Tuning of active photonic crystal THz quantum-cascade lasers";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "Book of Abstracts",
(2010),
S. 186
- 187.
-
H. Detz, P. Klang, A. M. Andrews, M. Nobile, E. Mujagic, G. Hesser, W. Schrenk, F. Schäffler, G. Strasser:
"MBE Growth and Characterization of InGaAs/GaAsSb Superlattices";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "16th International Winterschool Mauterndorf",
(2010),
S. 181
- 182.
-
C. Deutsch, A. Benz, G. Fasching, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Dual-Color Terahertz Quantum Cascade Laser Emission";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "Book of Abstracts",
(2010),
S. 190
- 191.
-
O. Glushko, R. Meisels, S. Kalchmair, G. Strasser:
"Enhanced optical transmission through the sub-wavelength holes due to the coupling of light to photonic crystal modes";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "16th International Winterschool Mauterndorf",
(2010),
S. 207.
-
S. Kalchmair, S. Schartner, A. M. Andrews, P. Klang, O. Glushko, R. Meisels, W. Schrenk, G. Strasser:
"Post-Fabrication Precision Tuning of Photonic Crystal Devices";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "16th International Winterschool Mauterndorf",
(2010),
S. 211
- 212.
-
P. Klang, H. Detz, A. M. Andrews, D. Kriegner, J. Stangl, G. Bauer, A. Lugstein, W. Schrenk, G. Strasser:
"XRD Study of the Crystal Structure of GaAs Nanowhiskers Grown on Si Nanowires";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "16th International Winterschool Mauterndorf",
(2010),
S. 123
- 124.
-
M. Madl, W. Brezna, G. Strasser, P. Klang, A. M. Andrews, J. Smoliner:
"pcAFM-based spectroscopic investigations of buried InAs quantum dots";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "16th International Winterschool Mauterndorf",
(2010),
S. 83.
-
M. Martl, J. Darmo, D. Dietze, K. Unterrainer, C. Deutsch, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Studies of Terahertz Quantum Cascade Lasers by Terahertz Microchip Emitters";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "Book of Abstracts",
(2010),
S. 192
- 193.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, Y. Douvry, C. Gaquière, J. De Jaeger, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany, E. Gornik, J. Kuzmik:
"Ultrathin 2 nm Barrier HEMT for state-of-the-art fT.LG product of 16.9 GHz.µm";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "16th International Winterschool Mauterndorf",
(2010),
S. 257
- 258.
-
C. Schwarzer, E. Mujagic, M. Nobile, H. Detz, S. Ahn, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Coupling Strategies for Coherent Operation of Ring Cavity Surface Emiting Intersubband Lasers";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010; in: "16th International Winterschool Mauterndorf",
(2010),
S. 194
- 195.
-
G. Strasser:
"Beam shaping and coherence in quantum cascade lasers";
Vortrag: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf (eingeladen);
22.02.2010
- 26.02.2010; in: "16th Interational Winterschool Mauterndorf",
(2010),
S. 43.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Gain Photonic Crystal Terahertz Quantum-Cascade Lasers";
Vortrag: IEEE Winter Topicals 2010,
Majorca, Spain (eingeladen);
11.01.2010
- 13.01.2010; in: "Proceedings of IEEE Winter Topicals 2010",
IEEE,
(2010),
S. 18
- 19.
-
E. Kohn, M. Alomari, A. Denisenko, M. Dipalo, D. Maier, F. Medjdoub, C. Pietzka, S. Delage, M. diForte-Poisson, E. Morvan, N. Sarazin, J. Jacquet, C. Dua, J. Carlin, N. Grandjean, M. Py, M. Gonschorek, J. Kuzmik, D. Pogany, G. Pozzovivo, C. Ostermaier, L. Toth, B. Pecz, C. Gaquière, K. Cico, K. Fröhlich, A. Georgakilas, E. Iliopoulos, G. Konstantinidis, C. Giessen, M. Heuken, B. Schineller:
"InAlN/GaN Heterostructures for Microwave Power and Beyond";
Vortrag: IEEE International Electron Devices Meeting (IEDM),
Baltimore, USA;
07.12.2009
- 09.12.2009; in: "IEDM 09",
(2009),
S. 173
- 176.
-
D. Pogany, D. Johnsson, S. Bychikhin, K. Esmark, P. Rodin, E. Gornik, M. Stecher, H. Gossner:
"Nonlinear dynamics approach in modeling of the on-state-spreading - related voltage and current transients in 90nm CMOS silicon controlled rectifiers";
Vortrag: IEEE International Electron Devices Meeting (IEDM),
Baltimore, USA;
07.12.2009
- 09.12.2009; in: "IEDM 09",
(2009),
S. 509
- 512.
-
M. Shrivastava, S. Bychikhin, D. Pogany, J. Schneider, S. Baghini, H. Gossner, E. Gornik, V. Ramgopal Rao:
"Filament study of STI type drain extended NMOS device using transient interferometric mapping";
Vortrag: IEEE International Electron Devices Meeting (IEDM),
Baltimore, USA;
07.12.2009
- 09.12.2009; in: "IEDM 09",
(2009),
S. 417
- 420.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Frequency control of active photonic crystal quantum cascade laser";
Poster: 2nd International Workshop TeraTech,
Osaka Univeristy, Japan;
30.11.2009
- 03.12.2009; in: "Proceedings of 2nd International Workshop TeraTech",
(2009),
S. 229
- 230.
-
J. Darmo, W. Parz, M. Martl, D. Dietze, T. Müller, G. Strasser, K. Unterrainer et al.:
"Few-cycle THz studies of quantum cascade laser active regions and resonators";
Hauptvortrag: 2nd International Workshop TeraTech,
Osaka University Center, Osaka, Japan (eingeladen);
30.11.2009
- 03.12.2009; in: "Proceedings of 2nd International Workshop TeraTech",
(2009),
S. 93
- 96.
-
S. Bychikhin, R. Ferreyra, C. Ostermaier, G. Pozzovivo, J. Kuzmik, M. Coquelin, M. Alomari, E. Kohn, M. di Forte-Poisson, S. Delage, G. Strasser, D. Pogany:
"Investigation of nanosecond-time-scale dynamics of electric field distribution and breakdown phenomena in InAlN/GaN TLM structures";
Vortrag: European Workshop on Heterostructure Technology,
Ulm, Deutschland;
02.11.2009
- 04.11.2009; in: "HETECH 2009",
(2009),
S. 49
- 50.
-
J. Kuzmik, G. Pozzovivo, C. Ostermaier, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, E. Gornik, D. Pogany:
"Analysis od degradation mechanisms in InAlN/GaN HEMTs";
Vortrag: Conference of Nitride Semiconductors (ICNS),
Jeju, Korea;
18.10.2009
- 23.10.2009; in: "Abstract Book",
(2009),
S. 949
- 950.
-
C. Ostermaier, S. Ahn, K. Potzger, M. Helm, J. Kuzmik, D. Pogany, G. Strasser, J. Lee, S. Hahm, J. Lee:
"Studyo f Si implantationin to Mg-dopedG aNf or MOSFETs";
Poster: Conference of Nitride Semiconductors (ICNS),
Jeju, Korea;
18.10.2009
- 23.10.2009; in: "ICNS 8",
(2009),
S. 1245
- 1246.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, K. Cico, K. Fröhlich, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"Thermally Stable InAIN/GaN Enhancement-Mode HEMTs with highly doped GaN Cap";
Vortrag: Conference of Nitride Semiconductors (ICNS),
Jeju, Korea;
18.10.2009
- 23.10.2009; in: "ICNS-8",
(2009),
S. 1052
- 1053.
-
S. Kalchmair, S. Schartner, A. M. Andrews, P. Klang, O. Glushko, R. Meisels, W. Schrenk, G. Strasser:
"Post-Fabrication Precision Tuning of Photonic Crystal Microstructures";
Poster: International Conference on Micro- and Nano-Engineering,
Ghent, Belgium;
28.09.2009
- 01.10.2009; in: "Programme Guide",
(2009),
S. 79.
-
S. Saghafi, R. Penjweini, M. Ghoranneviss, H. Dodt:
"Investigating the effects of flat-top laser beams (532 and 660 nm) in annihilation of pistachio mold fungus using spectrophotometry analysis";
Poster: EOS Topical Meetings in Capri,
Capri, Italien;
27.09.2009
- 30.09.2009; in: "On-Site Programme",
(2009).
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Gain photonic crystal resonators for terahertz qauntum-cascade lasers";
Vortrag: European Semiconductor Laser Workshop,
Vienna University of Technology-Austria, Wien;
25.09.2009
- 26.09.2009; in: "Book of Abstracts",
(2009),
S. 16.
-
E. Mujagic, C. Deutsch, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, J. Chen, C. Gmachl, K. Unterrainer, G. Strasser:
"Surface Emitting MIR and THz Ring Cavities";
Vortrag: European Semiconductor Laser Workshop,
Wien;
25.09.2009
- 26.09.2009; in: "Programme and Abstracts",
(2009),
S. 17.
-
M. Nobile, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Al-free MIR quantum cascade lasers";
Vortrag: European Semiconductor Laser Workshop,
Wien;
25.09.2009
- 26.09.2009; in: "Programme and Abstracts",
(2009),
S. 12.
-
N. Jährling, K. Becker, B. Wegenast-Braun, S. Grathwohl, D. Eicke, H. Dodt:
"Ultramicroscopy: 3D-reconstruction of alzheimer“s disease pathologies in intact mouse brains";
Poster: 11. Meeting of the "Austrian Neuroscience Association" (ANA),
Salzburg;
16.09.2009
- 18.09.2009; in: "11. Meeting of the "Austrian Neuroscience Association"",
(2009),
S. 83.
-
T. Moldaschl, T. Müller, W. Parz, S. Golka, G. Strasser, K. Unterrainer:
"Excitonic fine Structure at low Magnetic fields in Quantum Dots";
Poster: 11th International Conference on the Optics of Excitons in Confined Systems (OECS11),
Madrid, Spanien;
07.09.2009
- 11.09.2009; in: "Book of Abstracts",
(2009),
S. 43.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Resonator tuning of active photonic crystal quantum-cascade laser";
Vortrag: The 10th International Conference on Intersubband Transitions in Quantum Wells,
Montreal, Cananda;
06.09.2009
- 11.09.2009; in: "Book of Abstracts",
(2009),
S. 82
- 83.
-
G. Fasching, C. Deutsch, A. Benz, A. M. Andrews, P. Klang, R. Zobl, W. Schrenk, G. Strasser, Ragulis, V. Tamosiunas, K. Unterrainer:
"Electrically Controlled Photonic Molecule: Coherent Coupling on Demand";
Vortrag: The 10th International Conference on Intersubband Transitions in Quantum Wells,
Montreal, Cananda;
06.09.2009
- 11.09.2009; in: "Book of Abstracts",
(2009),
S. 86
- 87.
-
S. Kalchmair, S. Schartner, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Fine Tuning of Photonic Crystals Post Fabricaton using QWIP Photo-Response";
Vortrag: ITQW,
Montreal, Canada;
06.09.2009
- 11.09.2009; in: "Abstract book",
(2009),
S. 30
- 31.
-
M. Nobile, H. Detz, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"An Al-free material system for intersubband detectors and emitters";
Vortrag: ITQW,
Montreal, Canada;
06.09.2009
- 11.09.2009; in: "Abstract book",
(2009),
S. 132
- 133.
-
W. Parz, O. Pfäffli, T. Müller, J. Darmo, M. Austerer, G. Strasser, A. Gaal, K. Reimann, M. Woerner, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Intersubband gain induced dispersion and relaxation dynamics in quantum cascade lasers bekow and threshold";
Vortrag: The 10th International Conference on Intersubband Transitions in Quantum Wells,
Montreal, Cananda;
06.09.2009
- 11.09.2009; in: "Book of Abstracts",
(2009),
S. 66.
-
C. Schönbauer, N. Jährling, H. Dodt, B. Dickson, F. Schnorrer:
"Systematic analysis of adult muscle formation and function in Drosophila";
Poster: International Society of Developmental Biologist Congress,
Edinburgh, United Kingdom;
06.09.2009
- 10.09.2009; in: "Abstracts",
(2009).
-
Y. Todorov, A. M. Andrews, I. Sagnes, R. Collombelli, P. Klang, G. Strasser, C. Sirtori:
"Intersubband polaritons in the THz Frequency Range";
Vortrag: ITQW,
Montreal, Canada;
06.09.2009
- 11.09.2009; in: "Abstract Book",
(2009),
S. 76
- 77.
-
L. Hoffmann, S. Ahn, M. Klinkmüller, E. Mujagic, M. Semtsiv, W. Schrenk, W. Masselink, G. Strasser:
"Tree Array Quantum Cascade Laser";
Vortrag: ITQW,
Montreal, Kanada;
05.09.2009
- 12.09.2009; in: "Abstract Book",
(2009),
S. 1
- 2.
-
E. Mujagic, C. Deutsch, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Ring Cavity Surface Emitting Quantum Cascade Lasers";
Vortrag: ITQW,
Montreal, Kanada;
05.09.2009
- 12.09.2009; in: "Abstract Book",
(2009),
S. 38
- 39.
-
S. Kalchmair, S. Schartner, A. M. Andrews, P. Klang, O. Glushko, R. Meisels, W. Schrenk, G. Strasser:
"Post-fabrication Fine-tuning of Photonic Crystal Devices";
Vortrag: Annual Meeting of the Austrian & Swiss Phys.l Soc,
Innsbruck;
02.09.2009
- 04.09.2009; in: "Programme and Abstracs",
(2009),
S. 88.
-
R. Meisels, O. Glushko, S. Kalchmair, G. Strasser:
"3D FDTD simulations of photonic devices";
Vortrag: Annual Meeting of the Austrian & Swiss Phys.l Soc,
Innsbruck;
02.09.2009
- 04.09.2009; in: "Programme and Abstracts",
(2009),
S. 88.
-
D. Pogany, S. Bychikhin, W. Mamanee, E. Gornik, D. Johnsson, K. Esmark, H. Gossner, M. Stecher, P. Rodin:
"Interacting traveling current filaments and spreading fronts in sandwiched semiconductor nanostructures";
Vortrag: 59. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Innsbruck;
02.09.2009
- 04.09.2009; in: "Bulletin SPG/SSP",
(2009),
S. 87.
-
C. Schwarzer, E. Mujagic, C. Deutsch, H. Detz, M. Nobile, S. Kalchmair, P. Klang, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Shaped Beams in Vertically Emitting Quantum Cascade Ring Lasers";
Poster: Annual Meeting of the Austrian & Swiss Phys.l Soc,
Innsbruck;
02.09.2009
- 04.09.2009; in: "Programme and Abstracts",
(2009),
S. 96.
-
J. Lebon, G. Jenicot, P Moens, D. Pogany, S. Bychikhin:
"EC vs HBM: How to optimize on-chip protections to handle both requirements?";
Poster: EOS/ESD Symposium,
Anaheim, USA;
30.08.2009
- 04.09.2009; in: "EOS/ESD Symposium 09",
(2009),
S. 1
- 6.
-
L.C. Whitmore, T. Koch, S. Abermann, K. Whitmore, A. Steiger-Thirsfeld:
"Transmission electron microscopy of indented and scratched titanium-alumina layers on silicon";
Poster: Microscopy Conference Graz, Dreiländertagung,
Graz;
30.08.2009
- 04.09.2009; in: "MC Graz 2009",
Facultas Verlag,
(2009),
ISBN: 978-3-85125-062-6;
S. 459
- 460.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Monolithic photonic crystal quantum-cascade laser";
Vortrag: 16th International Conference on Electron Dynamics In Semiconductors, Optoelectronics and Nanostructures,
Montpellier, Le Corum;
24.08.2009
- 28.08.2009; in: "Book of Abstracts",
(2009),
S. 278
- 282.
-
W. Parz, O. Pfäffli, J. Darmo, M. Austerer, G. Strasser, K. Unterrainer:
"statical and dynamical properties of intersubband-gain in mid-infrared quantum cascade lasers";
Vortrag: 16th International Conference on Electron Dynamics In Semiconductors, Optoelectronics and Nanostructures,
Montpellier, Le Corum;
24.08.2009
- 28.08.2009; in: "Book of Abstracts",
(2009),
S. 280.
-
H. Dodt, N. Jährling, S. Saghafi, S. Kalchmair, K. Becker:
"Visualization of neuronal networks in the whole mouse brain and mouse embryos by ultramiroscopy";
Vortrag: Topical problems of Biophotonics,
Nizhny Novgorod, Russia (eingeladen);
19.07.2009
- 24.07.2009; in: "Proceedings",
(2009).
-
E. Mujagic, S. Schartner, M. Nobile, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, C. Deutsch, K. Unterrainer, M. Semtsiv, W. Masselink, G. Strasser:
"Tailored beams in quantum cascade ring lasers";
Vortrag: Modulated Semiconductor Structures 14 (MSS-14),
Kobe, Japan;
19.07.2009
- 24.07.2009; in: "Abstracts",
(2009).
-
E. Mujagic, C. Deutsch, H. Detz, M. Nobile, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, K. Unterrainer, G. Strasser:
"Photonic Engineering of Vertically Emitting Intersubband Lasers";
Vortrag: Nanoforum,
Linz (eingeladen);
11.07.2009
- 12.07.2009; in: "Program",
(2009),
S. 15.
-
P. Klang, A. M. Andrews, H. Detz, A. Lugstein, W. Schrenk, G. Strasser:
"X-ray Characterization of GaAs Nanowires Grown on Si Nanowires";
Vortrag: STRUKTURA - Materials Structure in Chemistry, Biology, Physics and Technology,
Park Hotel Hluboka nad Vltavou, Czech and Slovak;
22.06.2009
- 25.06.2009; in: "STRUKTURA",
(2009),
S. 1
- 2.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Online Tuning of Active Photonic Crystal Quantum-Cascade Lasers";
Vortrag: CLEO/Europe-EQEC 2009,
München, Deutschland;
14.06.2009
- 19.06.2009; in: "Book of Abstracts",
(2009),
ISBN: 978-1-4244-4080-1;
S. CK 9.2.
-
C. Deutsch, A. Benz, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, T. Kubis, C. Yeh, P. Vogl:
"Comparison between NEGF Simulation and Experimental Results of Terahertz Quantum Cascade Lasers";
Poster: CLEO Europe,
München, Deutschalnd;
14.06.2009
- 19.06.2009; in: "Book of Abstracts",
(2009),
ISBN: 978-1-4244-4080-1;
S. CB.P.36.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Terahertz Waveguide Emitter for Investigation of Subwavelength Structures";
Vortrag: CLEO/Europe-EQEC 2009,
München, Deutschland;
14.06.2009
- 19.06.2009; in: "Technical Digest",
(2009),
ISBN: 978-1-4244-4080-1;
Paper-Nr. JSIV1.5,
1 S.
-
W. Parz, O. Pfäffli, T. Müller, J. Darmo, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Analysis of sub-picosecond mid-infrared pulse propagation in a quantum cascade laser below and above Ereshold";
Vortrag: CLEO/Europe-EQEC 2009,
München, Deutschland;
14.06.2009
- 19.06.2009; in: "Book of Abstracts",
(2009),
ISBN: 978-1-4244-4080-1;
Paper-Nr. CB11.4.
-
W. Parz, O. Pfäffli, J. Darmo, M. Austerer, G. Strasser, A. Gaal, K. Reimann, M. Woerner, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Probing of statical and dynamical intersubband gain properties in quantum cascade lasers";
Vortrag: Nanostructures Key Technologies of the 21st Century...,
Johannes Kepler Universität Linz, Linz;
11.06.2009
- 12.06.2009; in: "Book of Abstracts",
(2009),
S. 26.
-
P. Klang, A. M. Andrews, H. Detz, M. Steinmair, A. Lugstein, W. Schrenk, G. Strasser:
"X-ray characterization of GaAs nanowires on Si nanowires";
Poster: E-MRS Spring Meeting,
Strasbourg, France;
08.06.2009
- 12.06.2009; in: "E-MRS 2009 Spring Meeting",
(2009).
-
J. Kuzmik:
"MORGaN Project: Advanced Semiconductor and Packaging Materials for High Temperature, Pressure and Chemical Sensing Elements";
Vortrag: 4M Workshop "Devices for Harsh Environmental Applications",
Vienna;
08.06.2009; in: "Proceedings",
(2009).
-
V. Lavchiev, W. Jantsch, D. Pogany:
", A quantum dot nanoimprinted DFB laser";
Poster: European Materials Research Society (EMRS),
Strassbourg;
08.06.2009
- 12.06.2009; in: "Symposium K, E-MRS 2009 Spring Meeting",
(2009),
S. 1
- 5.
-
B. Basnar, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Novel Thermal Tuning of Quantum Cascade Lasers Utilizing Thermochromic Claddings";
Vortrag: Cleo Iqec 2009,
Baltimore, Maryland, USA;
31.05.2009
- 05.06.2009; in: "Conference Program",
(2009),
S. 145.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Terahertz Waveguide Emitters with Subwavelength Confinement";
Vortrag: Cleo Iqec 2009,
Baltimore Convention Center, Baltimore, Maryland, USA;
31.05.2009
- 05.06.2009; in: "Technical Digest",
(2009),
ISBN: 978-1-55752-869-8;
Paper-Nr. CThQ4,
2 S.
-
E. Mujagic, L. Hoffmann, S. Schartner, M. Nobile, H. Detz, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Beam Shaping in Quantum Cascade Ring Lasers";
Vortrag: Cleo Iqec 2009,
Baltimore, Maryland, USA;
31.05.2009
- 05.06.2009; in: "Conference Program",
(2009),
S. 167.
-
J. R. Peham, H. Steiner, W. Grienauer, R. Heer, M. Vellekoop, C. Nöhammer, H. Wiesinger:
"Microfluidic PCR Device for Diagnostic Pathogen Detection";
Poster: Lab-on-a-Chip European Congress and Molecular Diagnostics Europe,
Stockholm, Schweden;
19.05.2009
- 20.05.2009; in: "Web Proceedings - AMT Advances in Microarray Technology",
(2009),
1 S.
-
J. Kuzmik, G. Pozzovivo, C. Ostermaier, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany:
"InAlN/GaN HEMTs: a new perspective in degradation limits of III-N HEMTs?";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Malaga, Spain;
17.05.2009
- 20.05.2009; in: "Program and Book of Abstracts",
(2009),
S. 52
- 55.
-
C. Ostermaier, J. Kuzmik, J. Carlin, G. Pozzovivo, B. Basnar, W. Schrenk, K. Cico, K. Fröhlich, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany:
"High Performance normally-on and normally-off n++ GaN/InAlN/GaN HEMTs";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Malaga, Spain;
17.05.2009
- 20.05.2009; in: "Programm and Book of Abstracts",
(2009),
S. 56
- 59.
-
N. Jährling, C. Schönbauer, F. Schnorrer, K. Becker, H. Dodt:
"Ultramicroscopy: HR38 regulates flight muscles number and integrity in Drosophila";
Poster: Fly retreat 2009 schedule,
Chiemsee, Deutschland;
13.05.2009
- 15.05.2009; in: "Program",
(2009).
-
B. Basnar:
"Organic-Inorganic hybrids based on polyaniline";
Vortrag: Young Chemists“Workshop on Solution chemical processing of advanced materials,
Schweden;
10.05.2009
- 13.05.2009; in: "Young Chemists“Workshop on Solution chemical processing of advanced materials",
(2009).
-
S. Schartner, S. Kalchmair, M. Nobile, E. Mujagic, B. Basnar, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Photonic Crystal Photodetectors";
Vortrag: Montanuniversität Leoben,
Leoben;
30.04.2009; in: "Seminar aus Halbleiterphysik und Technologie",
(2009).
-
A. Podgaynaya, D. Pogany, E. Gornik, M. Stecher:
"Investigation and improvement of the electrical Safe Operating Area of DMOS transistor during ESD Events";
Vortrag: International Reliability Physics Symposium (IRPS),
Montreal, Canada;
26.04.2009
- 30.04.2009; in: "IEEE CFP09RPS-CDR 47th Annual International Reliability Physics Symposium",
(2009),
S. 437
- 442.
-
C. Ebm, G. Hobler:
"Simulation of Ion-beam Induced Etching and Deposition Using a Non-local Recoil-based Algorithm";
Vortrag: MRS Spring Meeting,
San Francisco;
13.04.2009
- 17.04.2009; in: "MRS online Proceedings library",
(2009),
6 S.
-
N. Jährling, F. Schnorrer, C. Schönbauer, K. Becker, H. Dodt:
"Analysis of flight muscle defects in adult Drosophila by ultramicroscopy";
Poster: Focus on Microscopy (FOM),
Krakow, Polen;
05.04.2009
- 08.04.2009; in: "Program and Abstract Book",
(2009),
S. 209.
-
N. Jährling, M. Körte, K. Becker, E. Kramer, R. Weiler, H. Dodt:
"Investigation of developing nerve !bres in mouse embryos by ultramicroscopy";
Poster: Meeting of the German Neuroscience Society,
Göttingen, Deutschland;
25.03.2009
- 29.03.2009; in: "Program",
(2009),
S. 1
- 2.
-
A. M. Andrews, A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Terahertz Quantum Cascade Laser";
Poster: 15th European Molecular Beam Epitaxy Workshop,
Zakopane - Poland;
08.03.2009
- 11.03.2009; in: "Book of Abstracts",
(2009).
-
H. Detz, P. Klang, A. M. Andrews, Y.J. Hyun, A. Lugstein, W. Schrenk, G. Strasser:
"Heteroepitaxy of tilted Gaas nanowires on surface treated Si substrates";
Poster: 15th European Molecular Beam Epitaxy Workshop,
Zakopane - Poland;
08.03.2009
- 11.03.2009; in: "Book of Abstracts",
(2009).
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Slow light terahertz quantum-cascade laser";
Vortrag: International Workshop on Optical Terahertz Science and Technology (OTST 2009),
Fess Parkers Doubletree Resort, Santa Barbara, California, USA;
07.03.2009
- 11.03.2009; in: "Book of Abstracts",
(2009),
S. MC5.
-
J. Darmo, W. Parz, M. Martl, S. Schartner, W. Schrenk, P. Klang, A. M. Andrews, K. Unterrainer, G. Strasser:
"Non -linear optics in quantum cascade lasers";
Vortrag: International Workshop on Optical Terahertz Science and Technology (OTST 2009),
Fess Parkers Doubletree Resort, Santa Barbara, California, USA (eingeladen);
07.03.2009
- 11.03.2009; in: "Book of Abstracts",
(2009),
S. 209.
-
J. Darmo, G. Fasching, A. Benz, W. Parz, M. Martl, D. Dietze, A. M. Andrews, G. Strasser, K. Unterrainer:
"Terahertz quantum-cascade lasers: phase resolved dynamics and micro cavity effects";
Vortrag: SPIE Photonics West,
San Jose Convention Center, San Jose, California, USA (eingeladen);
24.01.2009
- 29.01.2009; in: "Book of Abstracts",
(2009),
S. 251.
-
G. Strasser:
"Coherence and beam shaping in Quantum Cascade Lasers";
Vortrag: SPIE Photonics West,
San Jose Convention Center, San Jose, California, USA (eingeladen);
24.01.2009
- 29.01.2009; in: "Advance Technical Program",
(2009),
S. 165.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Frequency Control in Terahertz Lasers";
Poster: GMe Forum 2008,
Wien;
13.11.2008
- 14.11.2008; in: "GMe Forum 2008 - Proceedings of the Seminar at the Vienna University Of Technology",
Gesellschaft für Mikro- und Nanoelektronik,
Wien
(2009),
ISBN: 978-3-901578-20-5;
S. 69
- 72.
-
C. Deutsch, A. Benz, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Performance of Phonon Depoluated terahertz Quantum Cascade Lasers";
Poster: GMe Forum 2008,
Wien;
13.11.2008
- 14.11.2008; in: "GMe Forum 2008 - Proceedings of the Seminar at the Vienna University Of Technology",
Gesellschaft für Mikro- und Nanoelektronik,
Wien
(2009),
ISBN: 978-3-901578-20-5;
S. 65
- 68.
-
H. Dodt, N. Jährling, K. Becker:
"The Glass Brain: 3D-Visualization of neutronal networks in whole mouse brain by new microscopy (ultramicroscopy)";
Vortrag: 30th GIF-Meeting: Brain Imaging,
Jerusalem, Israel;
19.11.2008
- 21.11.2008; in: "Abstracts",
(2008).
-
N. Jährling, S. Kalchmair, K. Becker, A. Gewies, E. Kramer, H. Dodt:
"3D-Analysis of neutronal and vasucular networks by ultramicroscopy";
Poster: Junior Scientist Conference 2008,
Technische Universität Wien;
16.11.2008
- 18.11.2008; in: "Proceedings",
(2008),
ISBN: 978-3-200-01612-5;
S. 215
- 216.
-
S. Kalchmair, K. Becker, N. Jährling, H. Dodt:
"Multi-Perspective Ultramicroscopy with Structured Illumination in Optically Dense Specimens";
Poster: Junior Scientist Conference 2008,
Technische Universität Wien;
16.11.2008
- 18.11.2008; in: "Junior Scientist Conference 2008",
(2008),
ISBN: 978-3-200-01612-5;
S. 315
- 316.
-
C. Ostermaier, S. Ahn, K. Potzger, M. Helm, S. Kalchmair, D. Pogany, J. Lee, S. Hahm, J. Lee:
"Realization of Inversion-type GaN MOSFETs with Ar Implantation for Device Isolation";
Vortrag: Junior Scientist Conference 2008,
Technische Universität Wien;
16.11.2008
- 18.11.2008; in: "Proceedings Junior Scientist Conference 2008",
(2008),
ISBN: 978-3-200-01612-5;
S. 197
- 198.
-
S. Abermann, O. Bethge, C. Henkel, E. Bertagnolli:
"New Materials and Devices for Future Generation CMOS technologies";
Vortrag: GMe Forum 2008,
Wien (eingeladen);
13.11.2008
- 14.11.2008; in: "GMe Forum 2008",
(2008),
S. 3.
-
O. Bethge, S. Abermann, C. Henkel, C. Straif, E. Bertagnolli:
"Atomic Layer Deposition of High-k Gate Dielectrics on Germanium and Silicon Substrates";
Poster: GMe Forum 2008,
Wien;
13.11.2008
- 14.11.2008; in: "Abstracts",
(2008),
S. 16.
-
C. Henkel, S. Abermann, O. Bethge, M. Reiche, E. Bertagnolli:
"Process integration of Pt-Metal-Gate high-k ALD dielectrics on sSOI";
Poster: GMe Forum 2008,
Wien;
13.11.2008
- 14.11.2008; in: "GMe Forum 2008",
(2008),
S. 17.
-
S. Schartner, E. Mujagic, L. Hoffmann, B. Basnar, H. Detz, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Photonic Engineering of Intersubband Devices";
Vortrag: GMe Forum 2008,
Wien (eingeladen);
13.11.2008
- 14.11.2008; in: "Abstracts of the Invited Presentations",
(2008),
S. 11.
-
J. Kuzmik:
"Advances and prospects of InAlN/GaN HEMTs";
Vortrag: European Workshop on Heterostructure Technology,
Venice, Italy (eingeladen);
03.11.2008
- 05.11.2008; in: "book of abstracts",
(2008),
S. 141
- 144.
-
S. Vitanov, V. Palankovski, G. Pozzovivo, J. Kuzmik, R. Quay:
"Systematical Study of InAlN/GaN Devices by Numerical Simulation";
Vortrag: European Workshop on Heterostructure Technology,
Venice;
03.11.2008
- 05.11.2008; in: "HETECH 2008 Book of Abstracts",
(2008),
ISBN: 978-88-6129-296-3;
S. 159
- 160.
-
M. Heer, D. Pogany, M. Street, I. Smith, F. Riedlberger, D. Bonfert, H. Gieser:
"Transient latch-up analysis of power control device with combined light emission and backside transient interferometric mapping methods";
Vortrag: International Symposium for Testing and Failure Analysis,
Portland, USA;
02.11.2008
- 06.11.2008; in: "Proceedings from the 34th International Symposium for Testing and Failure Analysis",
(2008),
ISBN: 9780871707147;
6 S.
-
S. Abermann, C. Henkel, O. Bethge, E. Bertagnolli:
"Atomic Layer Deposited Lanthanum-(Zirconate/Aluminate) Based High-K Dielectric Stacks For Future CMOS-Technology";
Vortrag: Pacific Rim Meeting on Electrochemical and Solid-State Science,
Honolulu, HI;
12.10.2008
- 17.10.2008; in: "Abstracts",
(2008).
-
O. Bethge, S. Abermann, C. Henkel, E. Bertagnolli:
"Al2O3/ZrO2/Al2O3 High-k Dielectric Stacks on Germanium Substrates Grown by Atomic Layer Deposition at High and Low Temperatures";
Vortrag: Pacific Rim Meeting on Electrochemical and Solid-State Science,
Honolulu, HI;
12.10.2008
- 17.10.2008; in: "Abstracts",
(2008).
-
K. Cico, D. Gregusova, J. Kuzmik, M. di Forte Poisson, T. Lalinsky, D. Pogany, S. Delage, K. Fröhlich:
"InAlN/GaN MOSHEMT with Al2O3 insulating film";
Poster: International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM),
Smolenice, Slovakia;
12.10.2008
- 16.10.2008; in: "ASDAM",
(2008),
S. 87
- 90.
-
C. Henkel, S. Abermann, O. Bethge, M. Reiche, E. Bertagnolli:
"Atomic Layer Deposition of High-k/Metal Gate Stack MOSFET-Devices on Strained Silicon-on-Insulator Substrates";
Vortrag: Pacific Rim Meeting on Electrochemical and Solid-State Science,
Honolulu, HI;
12.10.2008
- 17.10.2008; in: "Abstracts",
(2008).
-
G. Hochleitner, H. D. Wanzenböck, P. Rödiger, E. Bertagnolli, W. Bühler, A. Rosenthal:
"Nanostructured Catalyst Arrays for Nanowire Synthesis";
Vortrag: Meeting of the Electrochemical Society (ECS),
Honolulu, HI;
12.10.2008
- 17.10.2008; in: "Program Information",
(2008),
ISSN: 1091-8213;
1 S.
-
N. Jährling, F. Schnorrer, C. Schönbauer, K. Becker, H. Dodt:
"Analysis of flight muscle defects in adult drosophila by ultramicroscopy";
Poster: Drosophila Regional Meeting,
München, Deutschland;
09.10.2008
- 10.10.2008; in: "abstract book",
(2008),
S. 30
- 31.
-
J. Kuzmik, G. Pozzovivo, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany:
"Off-state breakdown in InAlN/GaN HEMTs";
Vortrag: Conference of Nitride Semiconductors (ICNS),
Montreux, Switzerland;
06.10.2008
- 10.10.2008; in: "Abstracts",
(2008),
S. 304
- 305.
-
G. Pozzovivo, J. Kuzmik, J. Liday, C. Giesen, M. Heuken, G. Strasser, D. Pogany:
"Low resistance ohmic contacts annealed at 600 C on InAlN/GaN heterostructure with SiCl4 reactive ion etching treatment";
Poster: Conference of Nitride Semiconductors (ICNS),
Montreux, Switzerland;
06.10.2008
- 10.10.2008; in: "Abstracts",
(2008),
S. 572
- 573.
-
M. Tapajna, K. Cico, J. Kuzmik, G. Pozzovivo, D. Pogany, S. Abermann, E. Bertagnolli, J. Carlin, N. Grandjean, K. Fröhlich:
"Evaluation of the interface state Density on Ni/ZrO2/InAlN/GaN MOS contacts";
Poster: Conference of Nitride Semiconductors (ICNS),
Montreux, Switzerland;
06.10.2008
- 10.10.2008; in: "Abstracts",
(2008),
S. 314
- 315.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Terahertz surface plasmon polaritons on etched grooves";
Vortrag: EOS Annual Meeting 2008,
Paris.Nord, Frankreich (eingeladen);
30.09.2008
- 02.10.2008; in: "Book of Abstracts",
(2008),
S. 60.
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Functional Lasers - A novel Approach for Sensing and Spectroscopy";
Poster: 2. Internationale Konferenz NanoSens2008,
Vienna;
29.09.2008
- 30.09.2008; in: "Conference Ducuments",
(2008).
-
S. Saghafi, A. Ehsani, M. Ghoranneviss, H. Hosseini, M. Hantezadeh, D. Dorranian, H. Dodt:
"Influence of visible-IR lasers on optical properties and surface morphology of polycarbonate surface";
Vortrag: EOS Topical Meetings in Capri,
Frankreich, Paris;
29.09.2008
- 02.10.2008; in: "On-Site Programme",
(2008),
S. 1
- 3.
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Reversible switching of quantum cascade laser-modes using a pH-resoponsive polymeric cladding as transducer";
Poster: 58. Jahrestagung der Österreichischen Physikalischen Gesellschaft (ÖPG),
Leoben;
22.09.2008
- 26.09.2008; in: "58. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2008),
S. 81.
-
E. Mujagic, L. Hoffmann, S. Schartner, W. Schrenk, M. Semtsiv, M. Wienold, W. Masselink, G. Strasser:
"Distributed-feedback quantum cascade ring lasers with low divergent, highly symmetric far fields";
Poster: 58. Jahrestagung der Österreichischen Physikalischen Gesellschaft (ÖPG),
Leoben;
22.09.2008
- 26.09.2008; in: "58. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2008),
S. 91.
-
S. Schartner, M. Austerer, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Compact distributed-feedback semiconductor lasers for the mid-IR region";
Poster: 58. Jahrestagung der Österreichischen Physikalischen Gesellschaft (ÖPG),
Leoben;
22.09.2008
- 26.09.2008; in: "58. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2008),
S. 101.
-
A. Benz, G. Fasching, C. Deutsch, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Quantum-Cascade Photonic Crystal Laser";
Vortrag: 33rd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW),
Pasadena, California, Usa;
15.09.2008
- 19.09.2008; in: "Conference Guide",
(2008),
S. 69.
-
J. Darmo, G. Fasching, A. Benz, J. Kröll, M. Martl, D. Dietze, S. Barbieri, C. Sirtori, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz Quantum-Cascade Lasers: Time Domain Spectroscopy and Micro Cavity Effects";
Hauptvortrag: 33rd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW),
Pasadena, California, Usa;
15.09.2008
- 19.09.2008; in: "Conference Guide",
(2008),
2 S.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Terahertz Subwavelength Waveguide Emitters";
Vortrag: 33rd International Conference on Infrared. Milimeter, and Terahertz Waves,
Pasadena, California,USA;
15.09.2008
- 19.09.2008; in: "Conference Guide",
(2008),
ISBN: 978-1-4244-2120-6;
1 S.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Mode Quantum-Cascade Lasers";
Vortrag: International Quantum Cascade Lasers School & Workshop,
Monte Verita, Ascona, Switzerland;
14.09.2008
- 19.09.2008; in: "Book of Abstracts",
(2008),
S. 47
- 48.
-
L. Hoffmann, M. Austerer, E. Mujagic, S. Schartner, M. Nobile, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Quantum Cascade Laser with Mach-Zehnder-type Cavity";
Vortrag: International Quantum Cascade Lasers School & Workshop,
Monte Verita, Ascona, Switzerland;
14.09.2008
- 19.09.2008; in: "Meeting Program, Book of Abstracts, Attendee Poster",
(2008),
S. 169
- 170.
-
E. Mujagic, S. Schartner, L. Hoffmann, D. Andrijasevic, H. Detz, M. Nobile, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Vertically emitting quantum cascade ring lasers";
Poster: International Quantum Cascade Lasers School & Workshop,
Monte Verita, Ascona, Switzerland;
14.09.2008
- 19.09.2008; in: "Meeting Program / Book of Abstracts / Attendee Poster",
(2008),
S. 133.
-
W. Parz, T. Müller, J. Darmo, M. Austerer, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Exploration of the Complex Refractive Index and its Derivatives in a Mid-Infrared Quantum Cascade Laser by Ultrafast Spectroscopy";
Vortrag: International Quantum Cascade Lasers School and Workshop,
Monte Verita (Ascona), Schweiz (eingeladen);
14.09.2008
- 19.09.2008; in: "Book of Abstracts",
(2008),
S. 29
- 30.
-
H. Dodt, N. Jährling, K. Becker:
"The Glass Brain: 3D-Visualization of Neutronal Networks in Whole Mouse Brain by New Microscopy (Ultramicroscopy)";
Poster: World Molecular Imaging Congress,
Nice, France;
10.09.2008
- 13.09.2008; in: "Abstracts",
(2008).
-
C. Spiel, S. Abermann, E. Bertagnolli, G. Rupprechter:
"New model catalysts prepared by atomic layer deposition (ALD)";
Vortrag: 9th Pannonian International Symposium on Catalysis,
Strbske Pleso, Slowakei;
08.09.2008
- 12.09.2008; in: "9th Pannonian International Symposium on Catalysis",
Slovak University of Technology,
Bratislava
(2008),
ISBN: 978-80-227-2923-9;
S. 53
- 54.
-
L. Hoffmann, C. Hurni, S. Schartner, E. Mujagic, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, G. Strasser:
"Y-coupled Quantum Cascade Laser Resonators";
Poster: 9th International Conference on Mid-Infrared Optoelectronics: Materials and Devices (MIOMD-IX),
Freiburg, Deutschland;
07.09.2008
- 11.09.2008; in: "9th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices",
(2008),
S. 122
- 123.
-
E. Mujagic, S. Schartner, L. Hoffmann, M. Austerer, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, G. Strasser:
"Second generation surface emitting distributed-feedback quantum cascade lasers";
Vortrag: International Conference on Mid-Infrared Optoelectronics: Materials and Devices,
Freiburg, Deutschland;
07.09.2008
- 11.09.2008; in: "9th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices",
(2008),
S. 72.
-
S. Schartner, M. Nobile, M. Austerer, E. Mujagic, L. Hoffmann, D. Andrijasevic, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Investigation of non-bandgap defect states in 2D photonic crystals QWIPs";
Poster: 9th International Conference on Mid-Infrared Optoelectronics: Materials and Devices (MIOMD-IX),
Freiburg, Deutschland;
07.09.2008
- 11.09.2008; in: "9th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices",
(2008),
S. 182
- 183.
-
E. Bertagnolli:
"Functional Nanostructure Formation By Focused Particle Beams";
Vortrag: Trends in Nanotechnology Conference (TNT),
Oviedo (Spain) (eingeladen);
01.09.2008
- 05.09.2008; in: "Abstracts",
(2008),
2 S.
-
G. Fasching, A. Benz, C. Deutsch, W. Parz, J. Darmo, A.M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Lasers: Microcavities and Photonics Crystal Devices";
Vortrag: 15th International Conference on Superlattices, Nanostructures and Nanodevices,
Natal, Brazil;
03.08.2008
- 08.08.2008; in: "Program Book",
(2008),
S. 25.
-
A.M. Andrews, P. Klang, H. Detz, A. Lugstein, M. Schramböck, M. Steinmair, Y.J. Hyun, E. Bertagnolli, K. Unterrainer, G. Bastard, W. Schrenk, G. Strasser:
"MBE Growth of GaAs Whiskers on Si Nanowires";
Vortrag: ICPS 2008 29th International Conference on the Physics of Semiconductors,
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008; in: "Book of Abstracts",
(2008),
S. 49
- 50.
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, P. Souza, M. Pires, G. Strasser:
"Tuning of quantum cascade lasers using Chromic Claddings";
Vortrag: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008; in: "Abstracts",
(2008),
S. 376
- 377.
-
A. Benz, G. Fasching, C. Deutsch, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Tunable photonic crystal mode quantum cascade lasers";
Vortrag: ICPS 2008 29th International Conference on the Physics of Semiconductors,
Rio de Janeiro, Brazil (eingeladen);
27.07.2008
- 01.08.2008; in: "Book of Abstracts",
(2008),
S. 47
- 48.
-
G. Fasching, A. Benz, C. Deutsch, K. Unterrainer, A.M. Andrews, R. Zobl, P. Klang, W. Schrenk, V. Tamosiunas:
"Electrically Controlled Optical Coupling Terahertz Photonic Molecule Lasers";
Vortrag: ICPS 2008 29th International Conference on the Physics of Semiconductors,
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008; in: "Book of Ábstracts",
(2008),
S. 375
- 376.
-
E. Mujagic, S. Schartner, L. Hoffmann, D. Andrijasevic, M. Nobile, H. Detz, M. Semtsiv, W. Masselink, G. Strasser:
"Grating-Induced Beam-Tuning in Quantum-Cascade Ring Lasers";
Poster: International Conference on the Physics of Semiconductors,
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008; in: "Abstracts",
(2008),
S. 319.
-
S. Schartner, M. Nobile, M. Austerer, E. Mujagic, L. Hoffmann, D. Andrijasevic, H. Detz, W. Schrenk, P. Klang, A. M. Andrews, G. Strasser:
"Photonic crystal band edge and defect states in the spectral response of intersubband detectors";
Vortrag: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008; in: "Abstracts",
(2008),
S. 55
- 56.
-
N. Jährling, K. Becker, E. Kramer, H. Dodt:
"Ultramicroscopy: 3D-visualization of developing nerve fibres in mouse embryos";
Poster: FENS Forum 2008,
Geneva, Schweiz;
12.07.2008
- 16.07.2008; in: "Fens Forum 2008 Abstracts",
(2008),
Paper-Nr. 096.5,
1 S.
-
S. Abermann, O. Bethge, C. Henkel, E. Bertagnolli:
"Subsequent atomic layer deposition of high-k/metal gate MOS capacitors";
als Poster angenommen für: 8th International Conference on Atomic Layer Deposition,
Bruges, Belgium;
29.06.2008
- 02.07.2008; in: "Abstracts",
(2008).
-
G. Fasching, A. Benz, C. Deutsch, K. Unterrainer, A. M. Andrews, R. Zobl, P. Klang, W. Schrenk, V Tamosiunas:
"Electrically Controlled Optical Coupling in Terahertz Photonic Molecule Lasers";
Vortrag: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brasilien;
27.06.2008
- 01.07.2008; in: "Book of Abstracts",
(2008),
S. 377
- 378.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"THz time domain spectroscopy of surface electromagnetic waves";
Poster: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brasilien;
27.06.2008
- 01.07.2008; in: "Book of Abstracts",
(2008),
S. 587.
-
S. Abermann, O. Bethge, C. Henkel, E. Bertagnolli:
"Investigation of conductance- and trapping-characteristics of Al2O3/ZrO2/Al2O3 high-k gate oxides";
Poster: Workshop on Dielectrics in Microelectronics (WODIM),
Berlin, Deutschland;
23.06.2008
- 25.06.2008; in: "WoDiM 2008",
(2008).
-
O. Bethge, S. Abermann, C. Henkel, E. Bertagnolli:
"Electrical characteristics of ALD Al2O3/ZrO2/Al2O3 high-k dielectric stacks";
Poster: Workshop on Dielectrics in Microelectronics (WODIM),
Berlin, Deutschland;
23.06.2008
- 25.06.2008; in: "WoDiM 2008",
(2008),
S. 125
- 126.
-
G. Hobler, H. Kim:
"3D FIB process simulation for photonic applications";
Vortrag: 1st International Workshop on FIB for Photonics,
Eindhoven, the Netherlands (eingeladen);
13.06.2008
- 14.06.2008; in: "Proceedings of the First International Workshop on FIB for Photonics",
(2008),
ISBN: 978-90-365-2678-4;
S. 8
- 11.
-
S. Zaitsev, A. Svintsov, C. Ebm, S. Eder-Kapl, H. Löschner, E. Platzgummer, J. Butschke, F. Letzkus, M. Irscher, F. van Delft, E. Naburgh, B. Basnar:
"Optimum dose distribution for Argon ion multi-beam sputtering of microlens array templates";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Portland, USA;
27.05.2008
- 30.05.2008; in: "Abstracts",
(2008).
-
T. Zahel, G. Hobler, K. Bourdelle:
"Investigation of defect evolution during hydrogen implantation using kinetic Monte Carlo simulations";
Poster: E-MRS Spring Meeting,
Strasbourg, France;
26.05.2008
- 30.05.2008; in: "Abstracts",
(2008).
-
J. Kuzmik, M. di Forte Poisson, D. Gregusova, N. Sarazin, E. Morvan, K. Fröhlich, S. Delage, D. Pogany:
"On state breakdown in InAlN/GaN HEMT";
Vortrag: 32nd Workshop on Compound Semiconductor Devices and Integrated Circuits,
Leuven, Belgium;
18.05.2008
- 21.05.2008; in: "abstract book",
(2008),
S. 27
- 28.
-
M. Tapajna, K. Cico, J. Kuzmik, G. Pozzovivo, D. Pogany, J. Carlin, N. Grandjean, K. Fröhlich:
"Characterization of Semiconductor/Oxide Interface States in the Al2O3/InAlN/GaN MOS structures";
Vortrag: 32nd Workshop on Compound Semiconductor Devices and Integrated Circuits,
Leuven, Belgium;
18.05.2008
- 21.05.2008; in: "abstract book",
(2008),
S. 117
- 118.
-
B. Basnar, Y. Weizmann, Z. Cheglakov, I. Willner:
"Controlling the size of nanoparticles by self-inhibited biocatalytic enlargement";
Vortrag: Young Chemists“ Workshop Surface Chemistry of Nanomaterials,
Wien;
15.05.2008
- 16.05.2008; in: "Young Chemists“ Workshop",
(2008),
S. 22.
-
T. Moldaschl, T. Müller, S. Golka, W. Parz, G. Strasser, K. Unterrainer:
"Coherent control of ground state excitons in the nonlinear regime within an ensemble of InAs quantum dots";
Vortrag: The 5th International Conference on Semiconductor Quantum Dots,
Gyeongiu, Korea (eingeladen);
11.05.2008
- 16.05.2008; in: "Book of Abstracts",
(2008),
S. 270.
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Reversible Switching of QCL-Modes Using a pH-Responsive Polymeric Cladding";
Vortrag: CLEO/QELS,
San Jose, California, USA;
04.05.2008
- 09.05.2008; in: "IEEE Xplore",
(2008),
2 S.
-
A. Benz, G. Fasching, C. Deutsch, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Terahertz Photonic Crystals";
Poster: CLEO/QELS and PhAST 2008,
San Jose, California, USA;
04.05.2008
- 09.05.2008; in: "Technical Digest",
(2008),
S. JWA38.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Terahertz Surface Plasmon on Chirped Groove Grating";
Vortrag: CLEO/QELS and PhAST 2008,
San Jose, California, USA;
04.05.2008
- 09.05.2008; in: "Technical Digest CD-ROM",
(2008),
Paper-Nr. JWA43,
3 S.
-
K. Esmark, H. Gossner, S. Bychikhin, D. Pogany, C. Russ, G. Langguth, E. Gornik:
"Transient behaviour of SCRs under ESD pulses";
Vortrag: International Reliability Physics Symposium (IRPS),
Phoenix, USA;
27.04.2008
- 01.05.2008; in: "Proc. IRPS (International Reliability Physics Symposium) 2008",
(2008),
S. 247
- 253.
-
D. Johnsson, W. Mamanee, S. Bychikhin, D. Pogany, E. Gornik, M. Stecher:
"Second breakdown in bipolar ESD protection devices during low current long duration stress and its relation to moving current-tubes";
Vortrag: International Reliability Physics Symposium (IRPS),
Phoenix, USA;
27.04.2008
- 01.05.2008; in: "Proc. IRPS (International Reliability Physics Symposium)",
(2008),
S. 240
- 246.
-
H. Dodt, N. Jährling, K. Becker:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by ultramicroscopy";
Vortrag: Spring Optics and Photonics Congress,
St. Petersburg, Florida, USA;
16.03.2008
- 20.03.2008; in: "Abstracts",
(2008).
-
A. Benz, C. Deutsch, G. Fasching, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser, T. Kubis, P. Vogl:
"Current and gain in terahertz quantum cascade lasers";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
18.02.2008
- 22.02.2008; in: "Abstracts",
(2008),
S. 158.
-
G. Fasching, A. Benz, C. Deutsch, A.M. Andrews, K. Unterrainer, R. Zobl, P. Klang, W. Schrenk, V Tamosiunas:
"Dynamical frequency pulling of degenerated and nondegenerated modes in small mode volume whispering-gallery terahertz quantum lasers-cascade lasers";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Salzburg;
18.02.2008
- 22.02.2008; in: "Abstracts",
(2008),
S. 159.
-
T. Moldaschl, T. Müller, S. Golka, W. Parz, G. Strasser, K. Unterrainer:
"Spectral hole burning spectroscopy experiments in InAs/GaAs quantum dots";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
18.02.2008
- 22.02.2008; in: "Book of Abstracts",
(2008),
S. 108
- 109.
-
W. Parz, T. Müller, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Precise determination of optical material parameters in an active quantum cascade laser";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
18.02.2008
- 22.02.2008; in: "Book of Abstracts",
(2008),
S. 163.
-
S. Schartner, M. Nobile, M. Austerer, E. Mujagic, L. Hoffmann, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Photocurrent Repsonse from Photonic Crystal Band Structure and Defect Modes";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
18.02.2008
- 22.02.2008; in: "Abstracts",
(2008),
S. 179.
-
M. Austerer, L. Hoffmann, C. Hurni, S. Schartner, E. Mujagic, M. Nobile, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Y-branch coupling of mid-infrared quantum cascade lasers";
Vortrag: 4th ADLIS Workshop,
Obergurgl, Tirol;
02.02.2008
- 03.02.2008; in: "Program",
(2008),
S. 1.
-
J.-P. Teyssier, R. Sommet, D. Pogany, J. Kuzmik, C. Gaquière:
"Thermal measurement of microwave transistors and MMIC within TARGET NoE";
Poster: Target Days (TARGET),
Frascati (Rome), Italy;
17.12.2007
- 18.12.2007; in: "Proc. Target Days 2007",
(2007),
S. 67
- 71.
-
W. Wein, M. Blume, U. Leischner, H. Dodt, N. Navab:
"Quality-based Registration and Reconstruction of Optical Tomography Volumes";
Vortrag: Medical Image Computing and Computer-Assisted Intervention (MICCAI),
Brisbane, Australia;
29.10.2007
- 02.11.2007; in: "Medical Image Computing and Computer-Assisted Intervention (MICCAI)",
(2007),
S. 1
- 7.
-
A. M. Andrews, A. Lugstein, M. Schramböck, M. Steinmair, Y.J. Hyun, E. Bertagnolli, T. Müller, S. Zauner, K. Unterrainer, G. Strasser:
"Growth of GaAs Whiskers on Si Nanowires";
Vortrag: International Symposium on Compound Semiconductors (ISCS),
Kyoto, Japan;
15.10.2007
- 18.10.2007; in: "Final Program and Abstracts",
(2007),
S. 272.
-
S. Bychikhin, V. Dubec, J. Kuzmik, J. Würfl, P. Kurpas, J.-P. Teyssier, D. Pogany:
"Current Gain Collapse in HBTs Analysed by Transient Interferometric Mapping Method";
Poster: European Microwave Integrated Circuits Conference,
München, Deutschland;
08.10.2007
- 12.10.2007; in: "Proceedings of the 2nd European Microwave Integrated Circuits Conderence",
(2007),
S. 28
- 31.
-
A. Benz, C. Deutsch, G. Fasching, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Performance limitations in unipolar terhertz lasers";
Poster: 57. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Donau-Universität Krems, Austria;
24.09.2007
- 28.09.2007; in: "Tagungsprogramm",
(2007),
S. 99
- 100.
-
G. Fasching, A. Benz, J. Kröll, J. Darmo, C. Deutsch, A.M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"From few-cycle THz pulses to terahertz quantum-cascade lasers";
Vortrag: 19th International Conference on Applied Electromagnetics and Communications,
Dubrovnik, Croatia (eingeladen);
24.09.2007
- 26.09.2007; in: "Conference Proceedings ICECom 2007",
(2007),
ISBN: 978-953-6037-50-6;
S. 135.
-
T. Moldaschl, T. Müller, S. Golka, G. Strasser, K. Unterrainer:
"Acoustic phonon assisted damping of Rabi oscillations in InAs quantum dots";
Vortrag: 57. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Donau-Universität Krems, Austria;
24.09.2007
- 28.09.2007; in: "Tagungsprogramm",
(2007),
S. 36.
-
T. Müller, A. Lugstein, A.M. Andrews, M. Steinmair, Y.J. Hyun, E. Bertagnolli, G. Strasser, K. Unterrainer:
"Temperature dependet µPL-imaging and µPL-exitation measurements of single GaAs/A1As nanowhiskers";
Poster: 57. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Donau-Universität Krems, Austria;
24.09.2007
- 28.09.2007; in: "Tagungsprogramm",
(2007),
S. 116
- 117.
-
A. M. Andrews, A. Lugstein, M. Schramböck, M. Steinmair, Y.J. Hyun, E. Bertagnolli, T. Müller, S. Zauner, K. Unterrainer, G. Strasser:
"MBE Growth of GaAs Whiskers on LPCVD Si Nanowire Trunks";
Vortrag: North American Conf. on Molecular Beam Epitaxy (NAMBE),
Albuquerque, New Mexico;
23.09.2007
- 26.09.2007; in: "Programm and Abstracts",
(2007),
S. 1
- 2.
-
M. Schramböck, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Self-assembled InAs QDs grown on AlGaAs surfaces";
Vortrag: Micro- and Nano Engineering,
Kopenhagen, Dänemark;
23.09.2007
- 26.09.2007; in: "MNE07 Micro- and Nano Engineering",
(2007),
S. 115.
-
K. Domanski, M. Heer, K. Esmark, D. Pogany, W. Stadler, E. Gornik:
"External (transient) latchup phenomenon investigated by optical mapping (TIM) technique";
Vortrag: EOS/ESD Symposium,
Anaheim, USA;
16.09.2007
- 21.09.2007; in: "EOS/ESD Symposium",
(2007),
S. 07347
- 07353.
-
N. Kheirodin, L. Nevou, H. Machhadani, M. Tchernycheva, A. Lupu, F.H. Julien, P. Crozat, L. Meignien, E. Warde, L. Vivien, G. Pozzovivo, S. Golka, G. Strasser, G. Guillot, E. Monroy, T. Remmele, M. Albrecht:
"Electro-optical intersubband modulators at telecommunication wavelengths based on GaN/AlN quantum wells";
Vortrag: Conference of Nitride Semiconductors (ICNS),
Las Vegas, USA;
16.09.2007
- 21.09.2007; in: "Proceeding of The 7th Int“l Conference of Nitride Semiconductors (ICNS-7)",
(2007),
S. 67.
-
J. Kuzmik, G. Pozzovivo, S. Abermann, J. Carlin, M. Gonschorek, K. Cico, K. Fröhlich, N. Grandjean, E. Bertagnolli, G. Strasser, D. Pogany:
"Gate insulation and current collapse suppression in InAlN/GaN HEMTs using High-k dielectrics";
Vortrag: Conference of Nitride Semiconductors (ICNS),
Las Vegas, USA;
16.09.2007
- 21.09.2007; in: "Proceeding of The 7th Int“l Conference of Nitride Semiconductors (ICNS-7)",
(2007),
S. 41.
-
G. Pozzovivo, J. Kuzmik, S. Golka, K. Cico, K. Fröhlich, J. Carlin, M. Gonschorek, N. Grandjean, W. Schrenk, G. Strasser, D. Pogany:
"Influence of GaN capping on performance of InAlN/AlN/GaN MOS-HEMTs with Al2O3 gate insulation prepared by CVD";
Poster: Conference of Nitride Semiconductors (ICNS),
Las Vegas, USA;
16.09.2007
- 21.09.2007; in: "Proceeding of The 7th Int“l Conference of Nitride Semiconductors (ICNS-7)",
(2007),
S. 48.
-
M. Austerer, D. Andrijasevic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Hybrid GaAs-Si quantum cascade lasers";
Vortrag: European Semiconductor Laser Workshop,
Berlin, Deutschland;
14.09.2007
- 15.09.2007; in: "Program and Abstracts",
(2007).
-
A. M. Andrews, A. Benz, G. Fasching, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"Performance Dependence on the Dopingof THz Quantum-Cascade Lasers";
Poster: ITQW07,
Ambleside, Cumbria, U.K.;
09.09.2007
- 14.09.2007; in: "Proceedings of the Nith International Conference on Intersubband Transitions in Quantum Wells",
(2007),
S. 2
- 3.
-
M. Austerer, S. Schartner, L. Hoffmann, M. Nobile, A. M. Andrews, P. Klang:
"Nonlinear light generation in GaAs quantum-cascade lasers";
Poster: ITQW07,
Cumbria, U.K.;
09.09.2007
- 14.09.2007; in: "Proceedings of the Nith International Conference on Intersubband Transitions in Quantum Wells",
(2007),
S. 36
- 37.
-
E. Benveniste, A. Vasanelli, A. Leuliet, J. Devenson, R. Teissier, A. Baranov, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser, C. Sirtori:
"Experimental and theoretical study of Intersubband electroluminescent diodes based on differenct materials systems";
Poster: ITQW07,
Cumbria, U.K.;
09.09.2007
- 14.09.2007; in: "Proceedings of the Ninth International Conference on Intersubband Transitions in Quantum Wells",
(2007),
S. 47
- 48.
-
A. Benz, G. Fasching, C. Deutsch, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Photonic crystals used as resonators for terahertz quantum-cascade lasers";
Vortrag: ITQW07,
Leeds, UK;
09.09.2007
- 14.09.2007; in: "The Proceedings of The Ninth International Conference on Intersubband Transitions in Quantum Wells",
(2007),
2 S.
-
G. Fasching, V. Tamosiunas, A. Benz, A.M. Andrews, K. Unterrainer, R. Zobl, W. Schrenk, G. Strasser:
"Wispering-Gallery quantum-cascade lasers in the terahertz frequency regime";
Poster: ITQW07,
Leeds, UK;
09.09.2007
- 14.09.2007; in: "The Proceedings of The Ninth International Conference on Intersubband Transitions in Quantum Wells",
(2007),
2 S.
-
E. Mujagic, M. Austerer, S. Schartner, M. Nobile, W. Schrenk, I. Bayrakli, M. Semtsiv, W. Masselink, G. Strasser:
"Doping density dependent performance of short-wavelength InP-based quantum-cascade lasers";
Poster: International Conference on Intersubband Transitions in Quantum Wells,
Ambleside, United Kingdom;
09.09.2007
- 14.09.2007; in: "Proceedings of The Ninth International Conference on Intersubband Transitions in Quantum Wells",
(2007),
S. 1
- 2.
-
S. Schartner, M. Austerer, E. Mujagic, L. Hoffmann, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Probing the photonic band structure by resonant responsivity enhancement in QWIPs";
Vortrag: International Conference on Intersubband Transitions in Quantum Wells,
Ambleside, United Kingdom (eingeladen);
09.09.2007
- 14.09.2007; in: "nternational Conference on Intersubband Transitions in Quantum Wells 2007",
(2007),
S. 3
- 4.
-
D. Stehr, M. Wagner, S. Winnerl, M. Helm, A. M. Andrews, T. Roch, G. Strasser:
"Two-color pump-probe spectroscopy of electron dynamics in doped superlattices";
Poster: ITQW07,
Cumbria, U.K.;
09.09.2007
- 14.09.2007; in: "Proceedings of the ninth International Conference on Intersubband Transitions in Quantum Wells",
(2007),
S. 16.
-
A. Benz, G. Fasching, C. Deutsch, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Effects of doping on terhertz quantum-cascade lasers";
Vortrag: IRMMW-THz 2007,
Cardiff, UK;
03.09.2007
- 07.09.2007; in: "Conference Digest",
Vol 1, 07EX1863
(2007),
ISBN: 1-4244-1438-5;
S. 949
- 950.
-
G. Fasching, V. Tamosiunas, A. Benz, A.M. Andrews, C. Deutsch, K. Unterrainer, R. Zobl, P. Klang, W. Schrenk, G. Strasser:
"Ultra-compact low threshold wispering-gallery terahertz quantum-cascade lasers";
Vortrag: IRMMW-THz 2007,
Cardiff, UK;
03.09.2007
- 07.09.2007; in: "Conference Digest",
Vol 1, 07EX1863
(2007),
ISBN: 1-4244-1438-5;
S. 951
- 952.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Propagation of surface plasmon polaritons on periodic metal arrays";
Vortrag: IRMMW-THz 2007,
Cardiff, UK;
03.09.2007
- 07.09.2007; in: "Conference Digest",
Vol 1, 07EX1863
(2007),
ISBN: 1-4244-1438-5;
2 S.
-
G. Fasching, V. Tamosiunas, A. Benz, A.M. Andrews, C. Deutsch, K. Unterrainer, R. Zobl, W. Schrenk, G. Strasser:
"Dynamical frequency pulling of degenerated and nondegenerated modes in small mode volume whispering-gallery terahertz quantum-cascade lasers";
Vortrag: International Conference on Electronic Properties of Two-Dimensional Systems and Modulated Semiconductor Structures,
Genova, Italy;
15.07.2007
- 20.07.2007; in: "Abstract Book",
(2007),
S. 389
- 390.
-
T. Moldaschl, T. Müller, S. Golka, G. Strasser, K. Unterrainer:
"Acoustic phonon assisted damping of Rabi oscillations in InAs quantum dots";
Poster: International Conference on Electronic Properties of Two-Dimensional Systems and Modulated Semiconductor Structures,
Genova, Italy;
15.07.2007
- 20.07.2007; in: "Abstract Book",
(2007),
S. 178
- 179.
-
L. Hoffmann, C. Hurni, S. Schartner, M. Austerer, M. Nobile, E. Mujagic, M. Semtsiv, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Field Measurements of Y-coupled Cavity Quantum Cascade Lasers";
Vortrag: International Conference on Narrow Gap Semiconductor,
Surrey, U.K.;
08.07.2007
- 12.07.2007; in: "Programme",
(2007),
S. 4.
-
E. Mujagic, M. Austerer, S. Schartner, A. M. Andrews, W. Schrenk, G. Strasser, I. Bayrakli, M. Semtsiv, W. Masselink:
""Impact of doping density in short-wavelength InP-based strain compensated quantum-cascade lasers";
Vortrag: International Conference on Narrow Gap Semiconductor,
Guildford, United Kingdom;
08.07.2007
- 12.07.2007; in: "The 13th International Conference on Narrow Gap Semiconductors",
(2007),
S. 74.
-
G. Fasching, V. Tamosiunas, A. Benz, A.M. Andrews, C. Deutsch, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
""Single-mode" wispering-gallery Terahertz quantum-cascade lasers with controlled degeneracy";
Poster: 2007 9th International Conference on Transparent Optical Networks,
Rome, Italy;
01.07.2007
- 05.07.2007; in: "Proceedings of 2007 9th International Conference on Transparent Optical Networks",
(2007),
ISBN: 1-4244-1248-x;
S. 180
- 183.
-
S. Schartner, L. Hoffmann, S. Golka, M. Austerer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Polarization Dependent Band Structure Mapping of Photonic Crystal Mid Infrared Photodetectors";
Vortrag: CLEO/Europe - IQEC 2007,
München, Deutschland;
20.06.2007; in: "Conference Digest",
(2007).
-
M. Austerer, S. Schartner, M. Nobile, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Vertically emitting distributed-feedback quantum-cascade lasers";
Vortrag: CLEO/Europe - IQEC 2007,
München, Deutschland;
17.06.2007
- 22.06.2007; in: "Advance programme",
(2007),
S. 98.
-
A. Benz, G. Fasching, A.M. Andrews, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"Photonic crystals with a complete bandgap for TM-modes used as resonators for terahertz quantum-cascade lasers";
Vortrag: CLEO/Europe - IQEC 2007,
Munich, Germany;
17.06.2007
- 22.06.2007; in: "Conference Digest",
(2007),
ISBN: 1-4244-0931-4;
1 S.
-
C. Deutsch, K. Unterrainer, A. Benz, G. Fasching, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Effects of doping concentration on terahertz quantum-cascade lasers";
Poster: CLEO/Europe - IQEC 2007,
Munich, Germany;
17.06.2007
- 22.06.2007; in: "Advance Programme",
(2007).
-
T. Moldaschl, T. Müller, S. Golka, G. Strasser, K. Unterrainer:
"Damping of Rabi oscillations in InAs quantum dots due to acoustic phonons";
Vortrag: CLEO/Europe - IQEC 2007,
Munich, Germany;
17.06.2007
- 22.06.2007; in: "Advance Programme",
(2007).
-
W. Parz, T. Müller, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, J.S. Roberts, A.B. Krysa:
"Time resolved spectroscopy of dynamics in mid infrared quantum cascade lasers below";
Vortrag: CLEO/Europe - IQEC 2007,
Munich, Germany;
17.06.2007
- 22.06.2007; in: "Conference Digest",
(2007),
ISBN: 1-4244-0931-4;
1 S.
-
J. Kuzmik, G. Pozzovivo, K. Cico, S. Golka, W. Schrenk, J. Carlin, M. Gonschorek, N. Grandjean, K. Fröhlich, G. Strasser, D. Pogany:
"Technology and performance of Al2O3/InAlN/AlN/GaN MOS HEMTs";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Venice, Italy;
20.05.2007
- 23.05.2007; in: "Proceedings of The 31st Workshop on Compound Semiconductor Devices and Integrated Circuits",
(2007),
S. 359
- 360.
-
G. Pozzovivo, J. Kuzmik, W. Schrenk, J. Carlin, M. Gonschorek, N. Grandjean, M. di Forte Poisson, S. Delage, G. Strasser, D. Pogany:
"Optimization of the plasma etching in fabrication of InAlN/AlN/GaN HEMTs";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Venice, Italy;
20.05.2007
- 23.05.2007; in: "Proceedings of the 31st Workshop on Compound Semiconductor Devices and Inetrated Circuits",
(2007),
S. 245
- 247.
-
A. M. Andrews, M. Austerer, A. Benz, G. Fasching, S. Schartner, M. Schramböck, W. Schrenk, K. Unterrainer, G. Strasser:
"MIR and THz GaAs-based Quantum-Cascade Lasers";
Vortrag: NanoForum 2007,
Linz (eingeladen);
17.05.2007
- 18.05.2007; in: "NanoForum 2007",
(2007),
S. 25.
-
E. Gornik, A.M. Andrews, M. Schramböck, G. Strasser:
"Growth of InAs quantum dots for intersubband applications";
Vortrag: Semiconducting and Insulating Materials Conference,
Fayetteville, USA (eingeladen);
15.05.2007
- 20.05.2007; in: "Semiconducting and Insulating Materials Conference - abstracts",
(2007).
-
M. Austerer, S. Schartner, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Second-harmonic generation in GaAs-based quantum-cascade lasers";
Poster: 8th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices,
Bad Ischl;
14.05.2007
- 16.05.2007; in: "Programm 6 Abstracs",
(2007),
S. 114
- 115.
-
L. Hoffmann, S. Schartner, S. Golka, M. Austerer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Direct Measurment of the Photonic Band Structure in Photonic Crystal Intersubband Detectros";
Vortrag: 8th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices,
Bad Ischl;
14.05.2007
- 16.05.2007; in: "Programm and Abstracts",
(2007),
S. 41.
-
E. Mujagic, M. Semtsiv, M. Austerer, S. Schartner, W. Masselink, G. Strasser:
"Influence of doping density variation in InP-based strain-compensated quantum-cascade lasers";
Vortrag: 8th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices,
Bad Ischl;
14.05.2007
- 16.05.2007; in: "Programm and Abstracts",
(2007),
S. 24.
-
T. Müller, T. Moldaschl, S. Golka, G. Strasser, K. Unterrainer:
"Acoustic phonon damping of rabi oscillations in In (Ga) As quantum dots";
Vortrag: CLEO/QELS,
Baltimore, Maryland, USA;
06.05.2007
- 11.05.2007; in: "CLEO/QELS 07 Technical Digest CD-ROM Proceedings",
Optical Society of America, Washington,
(2007),
ISBN: 1-55752-834-9;
2 S.
-
S. Schartner, W. Schrenk, S. Golka, M. Austerer, P. Klang, A. M. Andrews, G. Strasser:
"Photonic Crystal Infrared Photodetectors";
Vortrag: Conference on Lasers and Electro-Optics,
Baltimore, USA;
06.05.2007
- 11.05.2007; in: "Technical Digest",
(2007),
ISBN: 1-55752-834-9.
-
M. Denison, S. Murtaza, R. Steinhoff, S. Merchant, S. Pendharkar, S. Bychikhin, D. Pogany:
"25V ESD npn transistor optimized by distributed emitter ballasting using emitter contact area segmentation";
Vortrag: International Reliability Physics Symposium (IRPS),
Phoenix, USA;
15.04.2007
- 19.04.2007; in: "Proc. International Reliability Physics Symposium (IRPS)",
(2007),
S. 604
- 605.
-
A. Benz, G. Fasching, A.M. Andrews, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"The influence of doping on the performance of terahertz quantum-cascade-lasers";
Vortrag: OSA Topical Meetings: Optical Terahertz Science and Technology,
Orlando, Florida, USA;
18.03.2007
- 21.03.2007; in: "Technical Digest, Proceedings",
(2007),
ISBN: 1-55752-837-3;
3 S.
-
S. Schartner, S. Golka, M. Austerer, A. M. Andrews, W. Schrenk, G. Strasser:
"Band structure mapping of photonic crystal photodetectors,";
Poster: Nano and Photonics,
Mauterndorf, Österreich;
14.03.2007
- 16.03.2007; in: "Nano and Photonics Mauterndorf 2007",
(2007).
-
A. Benz, G. Fasching, A.M. Andrews, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"Photonic crystals with a complete bandgap for TM-modes used as resonators for terahertz quantum-cascade lasers";
Poster: 386. WE-Heraeus-Seminar Computational Nano-Photonics,
Physikzentrum Bad Honnef, Germany;
26.02.2007
- 28.02.2007; in: "Book of Abstracts",
(2007),
S. 5.
-
M. Austerer, A. M. Andrews, E. Gornik, P. Klang, M. Nobile, M. Schramböck, W. Schrenk, G. Strasser:
"Quantum dots in quantum cascade lasers transport and photoconductivity";
Vortrag: 3rd ADLIS workshop,
Goldegg, Salzburg;
24.02.2007
- 26.02.2007; in: "program",
(2007),
S. 2.
-
L. Hoffmann:
"Nonlinear effects in quantum cascade lasers";
Vortrag: ADLIS workshop,
Goldegg, Salzburg (eingeladen);
24.02.2007
- 26.02.2007; in: "Programm",
(2007).
-
M. Austerer, S. Schartner, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Second-harmonic generation in GaAs-based quantum-cascade lasers";
Poster: FRISNO-9, the 9th European/French Israeli Symposium on Nonlinear and Quantum Optics,
Les Houches, Frankreich;
11.02.2007
- 16.02.2007; in: "Program and Abstracts",
(2007),
S. 1.
-
A. M. Andrews, M. Schramböck, T. Roch, M. Austerer, W. Schrenk, G. Strasser:
"InAs Quantum Dots for Intersubband Devices";
Vortrag: MRS Fall Meeting,
Boston, MA, USA;
27.11.2006
- 01.12.2006; in: "Program",
(2006).
-
C. Schöndorfer, A. Lugstein, Y.J. Hyun, P. Pongratz, E. Bertagnolli:
"Focused Ion Beam Induced Growth of Antimony Nanowires";
Vortrag: MRS Fall Meeting,
Boston, USA;
27.11.2006
- 01.12.2006; in: "2006 MRS Fall Meeting Abstracts",
(2006).
-
S. Golka, L. Hoffmann, M. Austerer, C. Pflügl, W. Schrenk, G. Strasser:
"Deep etching of waveguiding structures in intersubband devices";
Poster: Workshop of the Austrian Networks for Nanosciences and Nanotechnology,
Krems;
21.11.2006
- 22.11.2006; in: "Abstract Book",
(2006),
S. 96
- 97.
-
S. Schartner, S. Golka, M. Austerer, A. M. Andrews, W. Schrenk, G. Strasser:
"Photonic crystal mid infradred photodetectors";
Poster: Workshop of the Austrian Networks for Nanosciences and Nanotechnology,
Krems;
21.11.2006
- 22.11.2006; in: "Abstract Book",
(2006),
S. 154.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"In-based Quantum Dots on AlxGa1-xAs Surfaces";
Poster: Workshop of the Austrian Networks for Nanosciences and Nanotechnology,
Krems;
21.11.2006
- 22.11.2006; in: "Book of Abstracts",
(2006),
S. 98
- 99.
-
G. Strasser, A. M. Andrews, P. Klang, S. Golka, M. Austerer, S. Schartner, M. Nobile, L. Hoffmann, W. Schrenk:
"Quantum Cascade Lasers: an Example for applied Nano-Technology";
Vortrag: Workshop der Österreichischen Netzwerke für Nanowissenschaften und Nanotechnologie,
Krems (eingeladen);
21.11.2006
- 22.11.2006; in: "Workshop der Österreichischen Netzwerke für Nanowissenschaften und Nanotechnologie",
(2006),
S. 58.
-
J. Kuzmik, J. Carlin, A. Kostopoulos, G. Konstantinidis, G. Pozzovivo, A. Georgakilas, D. Pogany:
"Gate-lag and drain-lag effects in InAlN/GaN and GaN/InAlN/GaN HEMTs";
Poster: International Workshop on Nitride Semiconductors 2006,
Kyoto, Japan;
22.10.2006
- 27.10.2006; in: "Technical Digest",
(2006),
S. 302.
-
K. Cico, J. Kuzmik, D. Gregusova, T. Lalinsky, A. Georgakilas, D. Pogany, K. Fröhlich:
"Rapid thermal annealing and performance of Al2O3/GaN metal-oxide-semiconductor structures";
Poster: International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM),
Smolenice Castle, Slovakia;
16.10.2006
- 18.10.2006; in: "Conference Proceedings of the sixth International Conference on Advanced Semiconductor Devices and Microsystems",
(2006),
S. 197
- 200.
-
D. Pogany, J. Kuzmik, S. Bychikhin, E. Pichonat, C. Gaquière, K. Andersson, C. Fager, J.-P. Teyssier, J. Würfl, M. di Forte Poisson:
"Thermal Characterization of HF power FETs";
Vortrag: Target Days (TARGET),
Frascati, Italy;
16.10.2006
- 18.10.2006; in: "Target Days 2006, Book of Proceedings",
(2006),
S. 31
- 34.
-
S. Abermann, G. Sjoblom, J. Efavi, M. Lemme, J. Olsson, E. Bertagnolli:
"Comparative Study on the Impact of TiN and Mo Metal Gates on MOCVD-Grown HfO2 and ZrO2 High-κ Dielectrics for CMOS Technology";
Poster: GMe Workshop 2006,
Wien;
13.10.2006; in: "GMe Workshop 2006 Proceedings",
K. Riedling (Hrg.);
(2006),
ISBN: 978-3-901578-17-5;
S. 83
- 86.
-
A.M. Andrews, T. Roch, A. Benz, G. Fasching, W. Schrenk, K. Unterrainer, G. Strasser:
"Optimization of MBE Growth Parameters for GaAs-based THz Quantum Cascade Lasers";
Poster: GMe Workshop 2006,
Wien;
13.10.2006; in: "GMe Workshop 2006 Proceedings",
K. Riedling (Hrg.);
(2006),
ISBN: 978-3-901578-17-5;
S. 43
- 46.
-
M. Austerer, S. Schartner, M. Nobile, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser:
"Second-Harmonic Emission from Quantum Cascade Lasers";
Poster: GMe Workshop 2006,
Wien;
13.10.2006; in: "GMe Workshop 2006 Proceedings",
K. Riedling (Hrg.);
(2006),
ISBN: 978-3-901578-17-5;
S. 35
- 38.
-
T. Gebhard, P. Souza, F.F. Schrey, G. Strasser, K. Unterrainer, M. Pires, S. Landi, J.M. Villas-Boas, N. Studart:
"Polarization Dependence of Photocurrent in Quantum-Dot Infrared Photodetectors";
Poster: GMe Workshop 2006,
Wien;
13.10.2006; in: "GMe Workshop 2006 Proceedings",
K. Riedling (Hrg.);
(2006),
ISBN: 978-3-901578-17-5;
S. 47
- 51.
Zusätzliche Informationen
-
S. Golka, G. Pozzovivo, W. Schrenk, G. Strasser, C. Skierbiszewski, M. Siekacz, I. Grzegory, S. Porowski:
"Dislocation-Free GaN/AlGaN Double-Barrier Diodes Grown on Bulk GaN";
Poster: GMe Workshop 2006,
Wien;
13.10.2006; in: "GMe Workshop 2006 Proceedings",
K. Riedling (Hrg.);
(2006),
ISBN: 978-3-901578-17-5;
S. 31
- 34.
-
A. Lugstein, C. Schöndorfer, E. Bertagnolli:
"Synthesis of Nanowires in Room Temperature Ambient with Focused Ion Beams";
Vortrag: GMe Workshop 2006,
Wien (eingeladen);
13.10.2006; in: "GMe Workshop 2006 Proceedings",
K. Riedling (Hrg.);
(2006),
ISBN: 978-3-901578-17-5;
S. 15
- 18.
-
S. Özcan, T. Roch, G. Strasser, J. Smoliner, R. Franke, T. Fritz:
"Ballistic Electron Emission Microscopy/Spectroscopy on Au/Titanylphthalocyanine/GaAs Heterostructures";
Poster: GMe Workshop 2006,
Wien;
13.10.2006; in: "GMe Workshop 2006 Proceedings",
K. Riedling (Hrg.);
(2006),
ISBN: 978-3-901578-17-5;
S. 71
- 75.
Zusätzliche Informationen
-
C. Schöndorfer, A. Lugstein, E. Bertagnolli:
"Focused Ion Beam Induced Nanodot, Nanocrystal and Nanofiber Growth";
Poster: GMe Workshop 2006,
Wien;
13.10.2006; in: "GMe Workshop 2006 Proceedings",
K. Riedling (Hrg.);
(2006),
ISBN: 978-3-901578-17-5;
S. 93
- 96.
-
F.F. Schrey, G. Fasching, T. Müller, G. Strasser, K. Unterrainer:
"Ultrafast Spectroscopy of QD Structures for Mid-Infrared Applications";
Vortrag: GMe Workshop 2006,
Wien, Österreich (eingeladen);
13.10.2006; in: "GMe Workshop 2006 Proceedings",
K. Riedling (Hrg.);
(2006),
ISBN: 978-3-901578-17-5;
S. 9
- 12.
-
S. Schartner, M. Austerer, M. Nobile, S. Golka, C. Pflügl, T. Roch, A. M. Andrews, W. Schrenk, G. Strasser:
"Far Field Investigations on Quantum Cascade Lasers";
Poster: GMe Workshop 2006,
Wien;
12.10.2006; in: "GMe Workshop 2006 Proceedings",
K. Riedling (Hrg.);
(2006),
ISBN: 978-3-901578-17-5;
S. 39
- 42.
-
S. Schartner, M. Austerer, C. Pflügl, T. Roch, A. M. Andrews, W. Schrenk, G. Strasser:
"Intersubband nonlinearities in GaAs-based quantum cascade lasers";
als Vortrag angenommen für: Optics East 2006,
Boston, USA (eingeladen);
01.10.2006
- 04.10.2006; in: "Abstract Book & Proceedings SPIE".
-
J. Kuzmik, S. Bychikhin, D. Pogany:
"Electrical and thermal transient effects in GaN-based HEMT devices";
Vortrag: European Workshop on III-Nitride Semiconductor Materials and Devices,
Crete, Greece (eingeladen);
18.09.2006
- 20.09.2006; in: "Book of Abstracts",
(2006),
S. 15
- 16.
-
H. D. Wanzenböck, B. Lendl, E. Bertagnolli:
"Custom-tailored microfluidics in transparent CaF2";
Poster: International Conference on Ion Beam Modification of Materials (IBMM),
Taormina, Italy;
18.09.2006
- 22.09.2006; in: "15th Intl. Conference on ion Beam Modification of Materials",
(2006).
-
S. Abermann, J. Efavi, G. Sjoblom, M. Lemme, J. Olsson, E. Bertagnolli:
"Processing and evaluation of metal gate/high-k/Si capacitors";
als Poster angenommen für: International Conference of Micro- and Nano-Engineering 2006,
Barcelona, Spain;
17.09.2006
- 20.09.2006; in: "Proceedings of the 32st International Conference on Micro- and Nano-Engineering",
(2006).
-
E. Bertagnolli:
"Nanopattern Formation with Focused Ion Beams";
Vortrag: 16th International Workshop on Inelastic Ion-Surface Collisions (IISC-16),
Hernstein (eingeladen);
17.09.2006
- 22.09.2006; in: "Book of Abstracts",
(2006),
S. 95.
-
G. Hochleitner, M. Fischer, H. D. Wanzenböck, R. Heer, H. Brückl, E. Bertagnolli:
"Electron-beam-induced direct deposition of magnetic nanostructures";
Vortrag: MNE2006 - Micro- and Nano-Engineering 2006 - 32nd International Conference,
Barcelona, Spain;
17.09.2006
- 20.09.2006; in: "Book of Abstracts",
(2006).
-
C. Schöndorfer, A. Lugstein, L. Bischoff, Y.J. Hyun, P. Pongratz, E. Bertagnolli:
"FIB induced growth of antimony nanowires";
Poster: International Conference of Micro- and Nano-Engineering 2006,
Barcelona, Spain;
17.09.2006
- 20.09.2006; in: "Book of Abstracts",
(2006),
S. 595
- 596.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"In-based Quantum Dots on AlxGa1-xAs Surfaces";
Poster: International Conference of Micro- and Nano-Engineering 2006,
Barcelona, Spanien;
17.09.2006
- 20.09.2006; in: "Book of Abstracts",
(2006),
S. 597
- 598.
-
M. Heer, S. Bychikhin, V. Dubec, D. Pogany, E. Gornik, L. Zullino, A. Andreini, G. Meneghesso:
"Analysis of triggering behavior of low voltage BCD single and multi-finger gc-NMOS ESD protection devices";
Vortrag: EOS/ESD Symposium 2006,
Tucson, USA;
10.09.2006
- 15.09.2006; in: "Proceedings of the EOS/ESD Symposium 2006",
(2006),
S. 275
- 284.
-
E. Pichonat, J. Kuzmik, S. Bychikhin, D. Pogany, M. Poisson, B. Grimbert, C. Gaquière:
"Temperature analysis of AlGaN/GaN High-Electron- Mobility Transistors using micro-Raman scattering spectroscopy and Transient Interferometric Mapping";
Poster: European Microwave Integrated Circuits Conference,
Manchester, UK;
10.09.2006
- 13.09.2006; in: "Proceedings of the first European Microwave Integrated Circuits Conference",
(2006),
S. 54
- 57.
-
G. Strasser:
"Vertical second-harmonic emission from QCLs";
Vortrag: 2nd International Workshop on Quantum Cascade Lasers,
Rosa Marina, Italy (eingeladen);
05.09.2006
- 10.09.2006; in: "Abstract Book",
(2006),
S. 80.
-
P. Souza, T. Gebhard, F.F. Schrey, G. Strasser, K. Unterrainer, M. Pires, S. Landi, J.M. Villas-Boas, N. Studart:
"Polarization dependence of photocurrent in InAs/InGaAs/InP quantum-dot infrared photodetectors";
Vortrag: Sociedade Brasileira de Microeltronica 2006 (SBCCI 2006),
Ouro Preto, Brazil;
28.08.2006; in: "Tagungsband",
(2006),
S. 1
- 7.
-
G. Strasser:
"III-Nitride Resonant tunneling diodes";
Vortrag: Monte Verita“summer School, Wide-banbgap semiconductor quantum structure,
Switzerland (eingeladen);
27.08.2006
- 01.09.2006; in: "Proceedings of the Monte Verita“summer school, wide-bandgap semiconductor quantum structure",
(2006).
-
T. Gebhard, P. Souza, F. Schrey, G. Strasser, K. Unterrainer, M. Pires, S. Landi, A.J. Lopes, J.M. Villas-Boas, N. Studart:
"Polarization dependence of intraband transitions in QDIPs";
Poster: International Conference on Superlattices, Nano-Structures and Nano-Devices,
Istanbul, Türkei;
30.07.2006
- 04.08.2006; in: "Abstract Book",
(2006),
S. 187.
-
S. Abermann, G. Sjoblom, J. Efavi, M. Lemme, A. Lugstein, E. Auer, H. Gottlob, M. Schmidt, J. Olsson, E. Bertagnolli:
"Comparative study on the impact of TiN and Mo metal gates on MOCVD-grown HfO2 and ZrO2 high- dielectrics for CMOS technology";
Poster: 28th International Conference on the Physics of Semiconductors,
Wien;
24.07.2006
- 28.07.2006; in: "Proceedings of the 28th International Conference on the Physics of Semiconductors",
(2006).
-
G. Fasching, A. Benz, A.M. Andrews, K. Unterrainer, R. Zobl, W. Schrenk, G. Strasser, V Tamosiunas:
"Dual-mode microdisk terahertz quantum-cascade lasers";
Poster: 28th International Conference on the Physics of Semiconductors,
Vienna, Austria;
24.07.2006
- 28.07.2006; in: "Programme and Abstracts",
(2006),
S. 182
- 183.
-
T. Gebhard, P. Souza, F. Schrey, G. Strasser, K. Unterrainer:
"Polarization dependence of absorption and photocurrent in QDIPs";
Poster: 28th International Conference on the Physics of Semiconductors,
Vienna, Austria;
24.07.2006
- 28.07.2006; in: "Book of Abstracts",
(2006),
S. 76.
-
J. Kuzmik, S. Bychikhin, R. Lossy, H. Würfl, M. di Forte Poisson, J.-P. Teyssier, C. Gaquière, E. Kohn, D. Pogany:
"Thermal boundary resistance between GaN layer and different substrates determined by transient electrical and optical methods";
Poster: International Conference on Physics of Semiconductor (ICPS),
Wien;
24.07.2006
- 28.07.2006; in: "Book of Abstracts",
(2006),
S. 306.
-
J. Kuzmik, S. Bychikhin, E. Pichonat, C. Gaquière, E. Morvan, D. Pogany:
"Influence of surface trapping on determination of electron saturation velocity in AlGaN/GaN structure";
Poster: International Conference on Physics of Semiconductor (ICPS),
Wien;
24.07.2006
- 28.07.2006; in: "Book of Abstracts",
(2006),
S. 307.
-
T. Müller, T. Moldaschl, G. Strasser, K. Unterrainer:
"Ultrafast spectral hole burning spectroscopy of exciton spin relaxation in quantum dots";
Vortrag: 28th International Conference on the Physics of Semiconductors,
Vienna, Austria;
24.07.2006
- 28.07.2006; in: "Programme and Abstracts",
(2006),
S. 368
- 369.
-
S. Reggiani, E. Gnani, M. Rudan, G. Baccarani, S. Bychikhin, J. Kuzmik, D. Pogany, E. Gornik, M. Denison, N. Jensen, G. Groos, M. Stecher:
"Experimental investigation on carrier dynamics at the thermal breakdown";
Poster: International Conference on Physics of Semiconductor (ICPS),
Wien;
24.07.2006
- 28.07.2006; in: "Book of Abstracts",
(2006),
S. 366
- 367.
-
C. Schöndorfer, A. Lugstein, L. Bischoff, Y.J. Hyun, P. Pongratz, E. Bertagnolli:
"A new metallic nanowire growth technique utilizing focused ion beams";
Poster: International Conference on Physics of Semiconductor (ICPS),
Wien, Österreich;
24.07.2006
- 28.07.2006; in: "International Conference on Physics of Semiconductor Programm",
(2006),
S. 173.
-
F.F. Schrey, D. Nguyen, N. Regnault, R. Ferreira, G. Bastard, G. Strasser, K. Unterrainer:
"Optical properties of IR quantum dot detectors with miniband tunnel extraction";
Vortrag: 28th International Conference on the Physics of Semiconductors,
Vienna, Austria;
24.07.2006
- 28.07.2007; in: "Book of Abstracts",
(2006),
S. 247.
-
S. Abermann, J. Efavi, G. Sjoblom, M. Lemme, J. Olsson, E. Bertagnolli:
"Impact of Al-, Ni-, TiN-, and Mo metal gates on MOCVD-grown HfO2 and ZrO2 high-k dielectrics";
als Vortrag angenommen für: Workshop on Dielectrics in Microelectronics (WODIM),
Santa Tecla, Italy;
26.06.2006
- 28.06.2006; in: "Microelectronics Reliability".
-
K. Cico, J. Kuzmik, D. Gregusova, T. Lalinsky, D. Pogany, K. Fröhlich:
"Optimization and performance of Al2O3/GaN metal-oxide-semiconductor structures";
Poster: Workshop on Dielectrics in Microelectronics (WODIM),
Santa Tecla, Italy;
26.06.2006
- 28.06.2006; in: "Book of Abstracts",
(2006),
S. 209
- 210.
-
A. M. Andrews, T. Roch, M. Schramböck, M. Austerer, W. Schrenk, G. Strasser:
"Growth of InAs Quantum Dots for GaAs-based Quantum Cascade Lasers";
Vortrag: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Palazzone di Cortona, Italy;
25.06.2006
- 30.06.2006; in: "Programme and Abstracts",
(2006).
-
M. Austerer, S. Schartner, S. Golka, C. Pflügl, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser:
"Surface emitting quantum cascade lasers";
Poster: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Palazzone di Cortona, Italy;
25.06.2006
- 30.06.2006; in: "Programme and Abstracts",
(2006).
-
A. Benz, G. Fasching, A.M. Andrews, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"The influence of doping on the performance of THz-QCLs";
Vortrag: Physics of Intersubband Semiconductor Emitters, Summer School,
Cortona, Italy;
25.06.2006
- 30.06.2006; in: "Programme and Abstracts",
(2006),
S. 2.
-
M. Nobile, M. Austerer, S. Schartner, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Improvement of Second Harmonic Generation by modal phase-matching in nonlinear MIR quantum cascade lasers";
Poster: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Palazzone di Cortana, Italy;
25.06.2006
- 30.06.2006; in: "Programme and Abstracts",
(2006).
-
S. Schartner, M. Austerer, M. Nobile, S. Golka, C. Pflügl, T. Roch, A. M. Andrews, W. Schrenk, G. Strasser:
"Farfied investigation on quantum cascade lasers";
Poster: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Cortona, Italien;
25.06.2006
- 30.06.2006; in: "Abstract Book",
(2006).
-
D. Stehr, S. Winnerl, M. Helm, A. M. Andrews, T. Roch, G. Strasser:
"Picosecond dynamics of interminiband transitions in doped GaAs/AlGaAs superlattices";
Vortrag: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Palazzone di Cortana, Italy;
25.06.2006
- 30.06.2006; in: "Programme and Abstracts",
(2006).
-
G. Strasser, A. M. Andrews, M. Austerer, A. Benz, G. Fasching, S. Golka, M. Nobile, C. Pflügl, T. Roch, S. Schartner, M. Schramböck, W. Schrenk, K. Unterrainer:
"Advanced Device Fabrication for High-Performance Cascade Lasers";
Vortrag: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Palazzone di Cortona, Italy (eingeladen);
25.06.2006
- 30.06.2006; in: "Programme and Abstracts",
(2006).
-
H. D. Wanzenböck, M. Fischer, G. Hochleitner, W. Brezna, J. Smoliner, E. Bertagnolli:
"High quality Silicon Dioxide by EBID";
Poster: First International Workshop on Electron Beam Induced Deposition,
Delft, Niederlande;
25.06.2006
- 27.06.2006; in: "First International Workshop on Electron Beam Induced Deposition",
(2006),
S. 24
- 25.
-
M. Rudan, S. Reggiani, E. Gnani, G. Baccarani, C. Corvasce, M. Ciappa, M. Stecher, D. Pogany, E. Gornik:
"Physical Models for Smart-Power Devices";
Vortrag: International Conference on Mixed Design of Integrated Circuits and Systems (MIXDES),
Gdynia, Poland (eingeladen);
22.06.2006
- 24.06.2006; in: "Proceedings of the International Conference on Mixed Design of Integrated Circuits and Systems",
(2006),
S. 28
- 33.
-
J. Kuzmik, J. Carlin, T. Kostopoulos, G. Konstantinidis, S. Bychikhin, A. Georgakilas, D. Pogany:
"InAlN/(In)GaN HEMTs for high power applications (Ultragan project)";
Vortrag: MIKON 2006, Workshop on GaN Devices,
Krakow, Poland (eingeladen);
22.05.2006
- 24.05.2006; in: "Workshop on GaN Devices",
(2006),
S. 1
- 14.
-
M. Austerer, C. Pflügl, S. Golka, S. Schartner, W. Schrenk, T. Roch, A. M. Andrews, G. Strasser:
"Surface Emission of Intracavity Frequency-Doubled Light from Quantum Cascade Lasers";
Vortrag: CLEO/QELS,
Long Beach, California, USA;
21.05.2006
- 26.05.2006; in: "Conference Programm",
(2006).
-
J. Kuzmik, S. Bychikhin, R. Lossy, H. Würfl, M. di Forte Poisson, J.-P. Teyssier, C. Gaquière, D. Pogany:
"Investigation of the cooling effect introduced by the airbridge structure in the multifinger AlGaN/GaN HEMTs";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Fiskebäckskil, Schweden;
14.05.2006
- 17.05.2006; in: "Abstract Book WOCSDICE",
(2006),
S. 181
- 183.
-
P. Schwaha, R. Heinzl, W. Brezna, J. Smoliner, H. Enichlmair, R. Minixhofer, T. Grasser:
"Leakage Current Analysis of a Real World Silicon-Silicon Dioxide Capacitance";
Vortrag: International Caracas Conference on Devices, Circuits and Systems (ICCDCS),
Playa del Carmen;
26.04.2006
- 28.04.2006; in: "Proceedings International Caribbean Conference on Devices, Circuits and Systems",
(2006),
ISBN: 1-4244-0042-2;
S. 365
- 370.
-
A. Benz, G. Fasching, K. Unterrainer, R. Zobl, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Quantum-Mechanical designed Terahertz Laser";
Poster: Junior Scientist Conference 2006,
Vienna, University of Technology, Wien;
19.04.2006
- 21.04.2006; in: "Proceedings",
(2006),
ISBN: 3-902463-05-8;
S. 91
- 92.
-
S. Schartner, S. Golka, M. Austerer, C. Pflügl, W. Schrenk, G. Strasser:
"Photonic Crystal Cavities for Quantum Cascade Lasers";
Poster: Junior Scientist Conference 2006,
Wien;
19.04.2006
- 21.04.2006; in: "Proceedings of the Junior Scientist Conference 2006",
(2006),
S. 169
- 170.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, A. Lugstein, G. Strasser:
"Nano-patterning and growth of self-assembled nano-structures";
Poster: Junior Scientist Conference 2006,
Wien;
19.04.2006
- 21.04.2006; in: "Proceedings of the Junior Scientist Conference 2006",
(2006),
S. 171
- 172.
-
A. M. Andrews, G. Fasching, A. Benz, T. Roch, W. Schrenk, K. Unterrainer, G. Strasser:
"Ga-As-based Quantum Cascade Laser for Dual Frequency Terahertz Emission";
Vortrag: Materials Research Society Spring Meeting (MRS),
San Francisco, USA;
17.04.2006
- 21.04.2006; in: "MRS Spring Meeting Abstracts",
(2006),
S. 231.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, A. Lugstein, G. Strasser:
"Nano-patterning and growth of self-assembled quantum dots";
Poster: 6th International Workshop on Epitaxial Semiconductors on Patterned Substrates and Novel Index Surfaces,
Nottingham, Great Britain;
03.04.2006
- 05.04.2006; in: "Book of Abstract",
(2006),
S. 56.
-
G. Strasser, A. M. Andrews, T. Roch, G. Fasching, A. Benz, S. Golka, M. Austerer, C. Pflügl, W. Schrenk, K. Unterrainer:
"Growth and Processing of GaAs quantum cascade lasers";
Vortrag: Spring Meeting 2006 EPS Condensed Matter Division and DPG Solid State Physics,
Dreseden, Deutschland (eingeladen);
26.03.2006
- 31.03.2006; in: "AKF-Frühjahrstagung 2006",
(2006),
S. 235.
-
G. Strasser:
"Lineare und nichtlineare Effekte in Quantenkaskadenlasern";
Vortrag: Nano and Photonics,
Mauterndorf (eingeladen);
15.03.2006
- 17.03.2006; in: "Tagungsunterlagen",
(2006),
S. 7.
-
A. M. Andrews, T. Roch, G. Fasching, W. Schrenk, R. Zobl, K. Unterrainer, G. Strasser:
"Growth Optimization of GaAs-based Quantum Cascade Laser for Terahertz Emission";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
13.02.2006
- 17.02.2006; in: "Book of Abstracts",
(2006),
S. 148.
-
M. Austerer, S. Schartner, S. Golka, C. Pflügl, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser, R. Green, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Single mode quantum cascade lasers";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
13.02.2006
- 17.02.2006; in: "Book of Abstracts",
(2006),
S. 149
- 150.
-
G. Fasching, A. Benz, A.M. Andrews, K. Unterrainer, R. Zobl, T. Roch, W. Schrenk, G. Strasser, V Tamosiunas:
"Microcavity THz quantum cascade laser";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
13.02.2006
- 17.02.2006; in: "Book of Abstract",
(2006),
S. 151.
-
T. Gebhard, F.F. Schrey, G. Strasser, K. Unterrainer, M. Pires, S. Landi, P. Souza:
"Intraband transitions in InAs/InGaAs/InP quantum dots for infrared photodetection";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
13.02.2006
- 17.02.2006; in: "Book of Abstract",
(2006),
S. 81
- 82.
-
T. Müller, G. Strasser, K. Unterrainer:
"Ultrafast spectral hole burning spectroscopy of exciton spin relaxation in InAs/GaAs quantum dots";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
13.02.2006
- 17.02.2006; in: "Book of Abstract",
(2006),
S. 89
- 90.
-
M. Schramböck, W. Schrenk, T. Roch, A. M. Andrews, M. Austerer, A. Lugstein, G. Strasser:
"Nano-patterning and growth of self-assembled nano-structures";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
13.02.2006
- 17.02.2006; in: "Book of Abstracts",
(2006),
S. 139.
-
F.F. Schrey, E. Magerl, G. Fasching, G. Strasser, K. Unterrainer:
"Ultrafast intersublevel spectroscopy of a single InAs Quantum Dot";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
13.02.2006
- 17.02.2006; in: "Book of Abstract",
(2006),
S. 91
- 92.
-
G. Strasser:
"Nonlinear effects in quantum cascade lasers";
Vortrag: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf (eingeladen);
13.02.2006
- 17.02.2006; in: "Book of Abstracts",
(2006),
S. 34.
-
G. Scamarcio, M. Vitiello, V. Spagnolo, C. DiFranco, C. Pflügl, W. Schrenk, G. Strasser:
"Electronic and thermal properties of mid-IR QCLs";
Vortrag: Photonics West 2006,
San Jose, USA (eingeladen);
20.01.2006
- 25.01.2006; in: "Photonics West",
(2006).
-
R. Heer, J. Smoliner, J. Bornemeier, H. Brückl:
"Temperature Dependent Ballistic Electron Transport in Spin Valve Transistors";
Vortrag: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Chicago, USA;
24.07.2005
- 29.07.2005; in: "Nonequilibrium Carrier Dynamics in Semiconductors/Proceedings of the 14 International Conference",
(2006),
S. 159
- 162.
-
T. Müller, F. Schrey, G. Fasching, J. Darmo, J. Kröll, W. Parz, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser, K. Unterrainer:
"Ultrawide band THz spectroscopy applied to semiconductor nanostructures";
Vortrag: Mid-Infrared Coherent Sources (MICS) 2005,
Barcelona, Spain (eingeladen);
06.11.2005
- 11.11.2005; in: "Book of Abstract",
(2005),
S. 5
- 6.
-
P. Schwaha, R. Heinzl, W. Brezna, J. Smoliner, H. Enichlmair, R. Minixhofer, T. Grasser:
"Fully Three-Dimensional Analysis of Leakage Current in Non-Planar Oxides";
Vortrag: European Simulation and Modeling Conference (ESMC),
Porto;
24.10.2005
- 26.10.2005; in: "The 2005 European Simulation and Modelling Conference Proceedings",
(2005),
ISBN: 90-77381-22-8;
S. 469
- 473.
-
G. Fasching, A. Benz, K. Unterrainer, R. Zobl, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Microcavity THz quantum cascade laser";
Vortrag: Optics East 2005,
Boston, Massachusetts, USA (eingeladen);
23.10.2005
- 26.10.2005; in: "Technical Program",
SPIE,
(2005),
1 S.
-
T. Müller, G. Strasser, K. Unterrainer:
"Transient spectral hole burning spectroscopy of exciton spin flip processes in In(Ga)As quantum dots";
Vortrag: 18th Annual Meeting of the IEEE Lasers & Electro-Optics Society (LEOS 2005),
Sydney, Australia;
23.10.2005
- 27.10.2005; in: "2005 IEEE LEOS Annual Meeting Conference Proceedings",
(2005),
ISBN: 0-7803-9218-3;
S. 37
- 38.
-
H. Kim, G. Hobler:
"Analysis of Ion Beam-Solid Interactions for Nano Fabrication";
Vortrag: Autumn Conference of the Korean Society of Precision Engineering,
GangJu, South Korea;
20.10.2005
- 21.10.2005; in: "Proc. 2005 Autumn Conference of the Korean Society of Precision Engineering",
(2005),
S. 581
- 584.
-
H. Kim, G. Hobler, A. Lugstein, E. Bertagnolli:
""AMADEUS" Software for Ion Beam Nano Patterning and Characteristics of Nano Fabrication";
Vortrag: Autumn Conference of the Korean Society of Precision Engineering,
GangJu, South Korea;
20.10.2005
- 21.10.2005; in: "Proc. 2005 Autumn Conference of the Korean Society of Precision Engineering",
(2005),
S. 322
- 325.
-
T. Roch, A. M. Andrews, G. Fasching, A. Benz, W. Schrenk, M. Schramböck, K. Unterrainer, G. Strasser:
"Growth of optoelectronic nanostructures";
Vortrag: European Workshop on Heterostructure Technology,
Smolenice Castle, Slovakia;
02.10.2005
- 05.10.2005; in: "Book of Abstracts",
(2005).
-
G. Fasching, F. Schrey, K. Unterrainer, T. Roch, A.M. Andrews, W. Brezna, J. Smoliner, G. Strasser:
"Photocurrent spectroscopy and AFM analysis of buried single quantum dots";
Poster: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien;
27.09.2005
- 29.09.2005; in: "Book of Abstract",
(2005),
S. 186.
-
E. Magerl, F. Schrey, G. Fasching, G. Strasser, K. Unterrainer:
"Confocal microscopy on single self assembled quantum dots";
Poster: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien;
27.09.2005
- 29.09.2005; in: "Book of Abstract",
(2005),
S. 113
- 114.
-
S. Schartner, S. Golka, C. Pflügl, W. Schrenk, G. Strasser:
"Processing of 2D coupled photonic crystal microcavity quantum cascade lasers";
Poster: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien, Österreich;
27.09.2005
- 29.09.2005; in: "Tagungsband",
(2005),
S. 184.
-
M. Schramböck, W. Schrenk, T. Roch, A. M. Andrews, M. Austerer, A. Lugstein, G. Strasser:
"Self organized InAs quantum dots grown on patterned GaAs substrates";
Poster: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien, Österreich;
27.09.2005
- 29.09.2005; in: "Tagungsband",
(2005),
S. 114
- 115.
-
F. Schrey, M. Schramböck, E. Magerl, W. Schrenk, G. Fasching, T. Roch, A.M. Andrews, M. Austerer, A. Lugstein, G. Strasser, K. Unterrainer:
"Nano-patterning and high resolution optical spectroscopy on selfassembled quantum dots";
Vortrag: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien;
27.09.2005
- 29.09.2005; in: "Book of Abstracts",
(2005),
S. 44
- 45.
-
S. Bychikhin, L.K.J. Vandamme, J. Kuzmik, G. Meneghesso, S. Levada, E. Zanoni, D. Pogany:
"Accelerated Aging of GaN Light Emitting Diodes Studied by 1/f and RTS Noise";
Vortrag: International Conference on Noise and Fluctuations (ICNF),
Salamanca, Spanien;
19.09.2005
- 23.09.2005; in: "18th International Conference on Noise and Fluctuations (ICNF)",
(2005),
S. 709
- 712.
-
J. Darmo, J. Kröll, K. Unterrainer:
"THz evanescent field spectrosopy";
Vortrag: The Joint 30th International Conference on Infrared and Millimeter Waves & 13th International Conference on Terahertz Electronics,
Willimsburg, Virginia, USA;
19.09.2005
- 23.09.2005; in: "IRMMW-Thz 2005",
(2005),
ISBN: 0-7803-9349-x;
Paper-Nr. MA2-4,
2 S.
-
M. Fischer, H. D. Wanzenböck, J. Gottsbachner, S. Müller, W. Brezna, M. Schramböck, E. Bertagnolli:
"Direct-Write DepostitionUtilization with Focused Ion Beam";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
19.09.2005
- 22.09.2005; in: "Proceedings of the International Conference on Micro- and Nano-Engineering",
(2005),
ISBN: 3-901578-15-3;
S. 179
- 183.
-
J. Kröll, J. Darmo, G. Fasching, A. Benz, T. Roch, A.M. Andrews, W. Schrenk, V. Tamosiunas, G. Strasser, K. Unterrainer:
"Optical control in active terahertz waveguides";
Vortrag: The Joint 30th International Conference on Infrared and Millimeter Waves & 13th International Conference on Terahertz Electronics,
Williamsburg, Virginia, USA;
19.09.2005
- 23.09.2005; in: "IRMMW-THz 2005",
(2005),
ISBN: 0-7803-9349-x;
Paper-Nr. RA1-5,
2 S.
-
S. Schartner, S. Golka, C. Pflügl, W. Schrenk, G. Strasser:
"Processing of 2D coupled photonic crystal microcavity quantum cascade lasers";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
19.09.2005
- 22.09.2005; in: "Book of Abstracts",
(2005),
S. 3-1_06.
-
M. Schramböck, W. Schrenk, T. Roch, A. M. Andrews, M. Austerer, A. Lugstein, G. Strasser:
"Self organized InAs quantum dots grown on patterned GaAs substrates";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
19.09.2005
- 22.09.2005; in: "Book of Abstracts",
(2005),
S. 3-n_28.
-
S. Reggiani, E. Gnani, M. Rudan, G. Baccarani, S. Bychikhin, J. Kuzmik, D. Pogany, E. Gornik, M. Denison, N. Jensen, G. Groos, M. Stecher:
"Predictive device simulation for ESD protection structures validated with transient interferometric thermal-mapping experiments";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Grenoble, France;
12.09.2005
- 16.09.2005; in: "Predictive device simulation for ESD protection structures validated with transient interferometric thermal-mapping experiments",
(2005),
S. 411
- 414.
-
A.M. Andrews, G. Fasching, T. Roch, W. Schrenk, A. Benz, R. Zobl, K. Unterrainer, G. Strasser:
"Growth and characterization of Thz quantum cascade lasers";
Vortrag: 23rd North American Conference on Molecular Beam Epitaxy,
Santa Barbara, California, USA;
11.09.2005
- 14.09.2005; in: "23rd North American Conference on Molecular Beam Epitaxy",
(2005),
S. 154.
-
G. Fasching, R. Zobl, A. Benz, V Tamosiunas, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser, K. Unterrainer:
"Microdisk and microring THz quantum cascade laser";
Vortrag: 8th International Conference on Intersubband Transitions in Quantum Wells,
Cape Cod, MA, USA;
11.09.2005
- 16.09.2005; in: "Program and Abstracts",
(2005),
Paper-Nr. ITQW8,
2 S.
-
D. Stehr, C. Metzner, M. Helm, T. Roch, G. Strasser:
"Impurity states in quantum wells and superlattieces and their influence on the intersubband spectra";
Vortrag: International Conference on Intersubband Transitions in Quantum Wells,
Cape Cod, USA;
11.09.2005
- 16.09.2005; in: "Proceedings of the 8th International Conference on Intersubband Transitions in Quantum Wells",
(2005).
-
D. Pogany, S. Bychikhin, J. Kuzmik, E. Gornik, M. Denison, N. Jensen, M. Stecher, P. Rodin, G. Groos:
"Observation of travelling current filaments in semiconductor devices using transient interferometric mapping";
Vortrag: Dynamics Days Europe,
Berlin, Deutschland;
25.07.2005
- 28.07.2005; in: "Book of Abstracts Europhysics Conference Series",
(2005),
ISBN: 2-914771-26-6;
S. 55
- 56.
-
P. Rodin, D. Pogany:
"Theory of travelling filaments in bistable semiconductors";
Vortrag: Dynamics Days Europe,
Berlin, Deutschland;
25.07.2005
- 28.07.2005; in: "Book of Abstracts",
(2005),
ISBN: 2-914771-26-6;
S. 33
- 34.
-
J. Kröll, J. Darmo, G. Fasching, G. Strasser, K. Unterrainer:
"Optical processes in terahertz quantum-cascade laser waveguides";
Vortrag: International School of Solid State Physics,
Erice, Italy (eingeladen);
20.07.2005
- 26.07.2005; in: "International School of Solid State Physics 35th Workshop: Physics and Technology of THz Photonics",
(2005),
S. 3.
-
T. Müller, F. Schrey, G. Fasching, J. Darmo, J. Kröll, W. Parz, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Spectroscopy of Semiconductor Nanostructures and Applications";
Vortrag: International School of Solid State Physics,
Erice, Italy (eingeladen);
20.07.2005
- 26.07.2005; in: "International School of Solid State Physics 35th Workshop: Physics and Technology of THz Photonics",
(2005),
S. 2.
-
G. Fasching, F. Schrey, K. Unterrainer, T. Roch, A.M. Andrews, W. Brezna, J. Smoliner, G. Strasser:
"Single InAs/GaAs quantum dots: Photocurrent and cross-sectional AFM analysis";
Poster: 12th International Conference on Modulated Semiconductor Structures,
Albuquerque, New Mexico, USA;
10.07.2005
- 15.07.2005; in: "Final Program and Abstracts",
(2005),
Paper-Nr. TUE-PB1,
1 S.
-
G. Fasching, R. Zobl, A. Benz, K. Unterrainer, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser, V Tamosiunas:
"Microcavity THz Quantum Cascade Laser";
Vortrag: 12th International Conference on Modulated Semiconductor Structures,
Albuquerque, New Mexico, USA;
10.07.2005
- 15.07.2005; in: "Final Program and Abstracts",
(2005),
Paper-Nr. THU-J3,
1 S.
-
G. Strasser:
"Quantum Cascade Lasers: Current Technology and Future Goals";
Vortrag: 12th International Conference on Narrow Gap Semiconductors,
Toulouse, France (eingeladen);
03.07.2005
- 07.07.2005; in: "Quantum Cascade Lasers: Current Technology and Future Goals",
(2005),
S. 292
- 299.
-
J. Kuzmik, S. Bychikhin, M. neuburger, A. Dadgar, M. Blaho, A. Krost, E. Kohn, D. Pogany:
"Transient Self-Heating Effects in AlgaN/GaN HEMTs";
Vortrag: 47th Annual TMS Electronic Materials Conference,
Santa Barbara, California;
22.06.2005
- 24.06.2005; in: "47th Annual TMS Electronic Materials Conference",
(2005),
S. 86.
-
J. Kuzmik, J. Carlin, T. Kostopoulos, G. Konstantinidis, A. Georgakilas, D. Pogany:
"Technology, Properties and Limitations of State-of-the -art InAlN/GaN HEMTs";
Poster: Device Research Conference,
Santa Barbara , California;
20.06.2005
- 22.06.2005; in: "Device Research Conference",
(2005),
S. 57
- 58.
-
C. Pflügl, S. Golka, M. Austerer, W. Schrenk, G. Strasser:
"Single mode quantum cascade lasers";
Vortrag: Conf. on Lasers and Electro-Optics Europe,
München, Deutschland;
12.06.2005
- 17.06.2005; in: "Technical Digest CD-ROM",
(2005),
ISBN: 0-7803-8974-3.
-
J. Darmo, J. Kröll, V. Tamosiunas, G. Fasching, K. Unterrainer, G. Strasser, M. Beck, M. Giovannini, J. Faist:
"Optical control processes in terahertz quantum-cascade laser waveguides";
Vortrag: Conference on Lasers and Electro-Optics,
Baltimore, USA;
22.05.2005
- 27.05.2005; in: "Technical Digest",
(2005),
ISBN: 1-55752-770-9;
Paper-Nr. QTh16.
-
R. Green, L.R. Wilson, D.G. Revin, E.A. Zibik, J.W. Cockburn, A.B. Krysa, C.M. Tey, J.S. Roberts, A.G. Cullis, C. Pflügl, W. Schrenk, G. Strasser, P. Offermans, P.M. Koenraad:
"MOVPE Grown Quantum Cascade Lasers: Single Mode Performance and Structural Quality";
Vortrag: Conf. on Lasers and Electro-Optics,
Baltimore, Maryland, USA;
22.05.2005
- 27.05.2005; in: "Technical Digest CD",
(2005),
ISBN: 1-55752-770-9.
-
W. Schrenk, C. Pflügl, M. Austerer, S. Golka, G. Strasser, R. Green, L.R. Wilson, D.G. Revin, E.A. Zibik, J.W. Cockburn, C.M. Tey, A.B. Krysa, J.S. Roberts, A.G. Cullis:
"Surface emission from MBE and MOVPE grown quantum cascade lasers";
Vortrag: Conference on Lasers and Electro-Optics,
Baltimore, Maryland, USA;
22.05.2005
- 27.05.2005; in: "Technical Digest CD-ROM",
(2005),
ISBN: 1-55752-770-9.
-
G. Hanreich, S. Bychikhin, D. Pogany, M. Marso, P. Kordos, J. Nicolics:
"Thermal Simulation and Charakterization of AlGaN/GaN/Si High Electron Mobility Transistors";
Poster: ISSE 2005 - 28th International Spring Seminar on Electronics Technology,
Wiener Neustadt;
19.05.2005
- 22.05.2005; in: "ISSE 2005 - 28th International Spring Seminar on Electronics Technology",
Österreichischer Verband für Elektrotechnik, Wien,
ÖVE Schriftenreihe Nr. 39
(2005),
ISBN: 3-85133036-6;
S. 106
- 107.
Zusätzliche Informationen
-
J. Kuzmik, S. Bychikhin, V. Dubec, M. Blaho, M. Marso, P. Kordos, T. Suski, M. Bockowski, I. Grzegory, D. Pogany:
"Characterization of III-Nitride Group Semiconductors and Devices Using Optical Methods";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Cardiff, Unided Kingdom;
15.05.2005
- 18.05.2005; in: "Compound Semiconductor Devices and Integrated Circuits",
(2005),
S. 61
- 62.
-
B. Terreault, M. Chicoine, N. Desrosiers, A. Giguere, G. Hobler, O. Moutanabbir, G. Ross, F. Schiettekatte, P. Simpson, T. Zahel:
"Isotope effects in low-energy ion-induced splitting";
Vortrag: Meeting of the Electrochemical Society, Silicon-on-Insulator Technology and Devices XII,
Quebec City, Canada (eingeladen);
15.05.2005
- 20.05.2005; in: "Silicon-on-Insulator Technology and Devices XII",
(2005),
S. 155
- 166.
-
T. Zahel, G. Otto, G. Hobler:
"Atomistic simulation of the isotope effect on defect formation in H/D-implanted Si";
Vortrag: Meeting of the Electrochemical Society, Silicon-on-Insulator Technology and Devices XII,
Quebec City, Canada;
15.05.2005
- 20.05.2005; in: "Silicon-on-Insulator Technology and Devices XI",
(2005),
S. 179
- 184.
-
J. Kröll, J. Darmo, K. Unterrainer:
"Ultra-thin metallic layers studied by broadband Terahertz time-domain spectroscopy";
Poster: International Symposium OPTRO 2005,
Paris, France;
09.05.2005
- 12.05.2005; in: "Abstracts",
European Space Agency,
(2005),
3 S.
-
R. Green, L.R. Wilson, D.G. Revin, E.A. Zibik, J.W. Cockburn, P. Offermans, P.M. Koenraad, J. Wolter, C. Pflügl, W. Schrenk, G. Strasser, C.M. Tey, A.B. Krysa, J.S. Roberts, A.G. Cullis:
"Single mode performance and structural quality of MOVPE grown InP based quantum cascade lasers";
Vortrag: International Conference on Indium Phosphide an Related Materials,
Glasgow, Scotland;
08.05.2005
- 12.05.2005; in: "Proceedings of 2005-International-Conference-on-Indium-Phosphide-and-Related-Materials",
(2005),
ISBN: 0-7803-8891-7;
S. 64
- 67.
-
M. Austerer, C. Pflügl, W. Schrenk, S. Golka, G. Strasser:
"Surface-Emitting Single-Mode Quantum Cascade Lasers";
Poster: GMe Forum 2005,
Wien, Österreich;
17.03.2005
- 18.03.2005; in: "Proceedings GME Forum 2005",
(2005),
S. 6.
-
W. Brezna, T. Roch, G. Strasser, J. Smoliner:
"Quantitative Scanning Capacitance Spectroscopy on GaAs and InAs Quantum Dots";
Poster: GMe Forum 2005,
Wien, Österreich;
17.03.2005
- 18.03.2005; in: "Proceedings GME Forum 2005",
(2005),
ISBN: 3-901578-15-3;
S. 115
- 121.
-
G. Fasching, F. Schrey, T. Müller, W. Brezna, M. Austerer, T. Roch, A.M. Andrews, J. Smoliner, G. Strasser, K. Unterrainer:
"Photocurrent spectroscopy of single InAs/GaAs quantum dots";
Poster: GMe Forum 2005,
Vienna, Austria;
17.03.2005
- 18.03.2005; in: "Proceedings of the Seminar at the Vienna University Of Technology",
(2005),
ISBN: 3-901578-15-3;
S. 129
- 132.
-
G. Fasching, R. Zobl, V Tamosiunas, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, L. Pfeiffer, K. West, F. Capasso:
"Terahertz quantum cascade lasers operating in magnetic fields";
Poster: GMe Forum 2005,
Vienna;
17.03.2005
- 18.03.2005; in: "Proceedings of the Seminar at the Vienna University Of Technology",
(2005),
ISBN: 3-901578-15-3;
S. 71
- 74.
-
M. Fischer, J. Gottsbachner, S. Müller, W. Brezna, H. D. Wanzenböck:
"Direct-Write Deopsition Utilising a Focused Electron Beam";
Poster: GMe Forum 2005,
Wien, Österreich;
17.03.2005
- 18.03.2005; in: "Proceedings GME Forum",
(2005),
S. 179
- 183.
-
S. Golka, W. Schrenk, G. Strasser:
"Inductively Coupled Plasma Reactive Ion Etching of GaN";
Poster: GMe Forum 2005,
Wien, Österreich;
17.03.2005
- 18.03.2005; in: "GME Forum Proceedings",
(2005),
S. 189
- 192.
-
T. Müller, F. Schrey, G. Fasching, L. Rebohle, G. Strasser, K. Unterrainer:
"Carrier dynamics in quantum dots";
Vortrag: GMe Forum 2005,
Vienna, Austria (eingeladen);
17.03.2005
- 18.03.2005; in: "Proceedings of the Seminar at the Vienna University of Technology",
ISBN: 3-901578-15-3
(2005),
ISBN: 3-901578-15-3;
S. 43
- 46.
-
G. Pillwein, T. Berer, G. Brunthaler, F. Schäffler, G. Strasser:
"Lateral Quantum Dots in High Mobility Heterostructures";
Poster: GMe Forum 2005,
Wien, Österreich;
17.03.2005
- 18.03.2005; in: "Proceedings GMe Forum 2005",
(2005),
ISBN: 3-901578-15-3;
S. 133
- 136.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Cross-Sectional Ballistic Electron Emission Microscopy for Schottky Barrier Height Profiling on Heterostructures";
Poster: GMe Forum 2005,
Wien , Österreich;
17.03.2005
- 18.03.2005; in: "Proceedings GME Forum 2005",
(2005),
ISBN: 3-901578-15-3;
S. 151
- 156.
-
M. Schramböck, W. Schrenk, T. Roch, A. M. Andrews, M. Austerer, G. Strasser:
"Self Organized InAs Quantum Dot Arrays on Patterned GaAs Substrates";
Poster: GMe Forum 2005,
Wien, Österreich;
17.03.2005
- 18.03.2005; in: "Proceedings of the GME Forum 2005",
(2005),
S. 137.
-
F. Schrey, G. Fasching, T. Müller, G. Strasser, K. Unterrainer:
"Ultrafast intersublevel spectroscopy of a single quantum dot";
Poster: GMe Forum 2005,
Vienna, Austria;
17.03.2005
- 18.03.2005; in: "Abstracts of the Poster Presentations",
(2005),
ISBN: 3-901578-15-3;
S. 17.
-
J. Kröll, J. Darmo, K. Unterrainer, W. Schrenk, G. Strasser:
"Enhanced emission and detection techniques for Terahertz time-domain spectroscopy";
Vortrag: OSA Topical Meetings: Optical Terahertz Science and Technology,
Orlando, Florida, USA;
14.03.2005
- 16.03.2005; in: "Conference Program",
(2005).
-
A.M. Andrews, M. Austerer, C. Pflügl, M. Schramböck, T. Roch, W. Schrenk, G. Strasser:
"In_x Al_1-x As quantum dots for GaAs-based quantum cascade lasers";
Poster: 13th European Molecular Beam Epitaxy Workshop,
Grindelwald, Switzerland;
07.03.2005
- 09.03.2005; in: "Book of Abstract",
(2005),
Paper-Nr. P-73,
1 S.
-
T. Zahel, G. Otto, G. Hobler:
"Atomistic Simulation of Hydrogen Implantation for SOI Wafer Production";
Vortrag: Workshop of the Thematic Network on Silicon on Insulator Technology, Devices, and Circuits (EUROSOI),
Granadea, Spanien;
19.01.2005
- 21.01.2005; in: "EUROSOI 2005, Book of Abstracts",
(2005),
S. 35
- 36.
-
H. D. Wanzenböck, M. Fischer, S. Müller, E. Bertagnolli:
"Custom-tailored microfluidic devices and nanoscaled actuators - on the fast track";
Vortrag: 3rd IEEE Conference on Sensors (IEEE Sensors 2004),
Wien, Österreich;
24.10.2004
- 27.10.2004; in: "Proceedings of the IEEE Sensors",
(2005),
ISBN: 0-7803-8692-2;
S. 227
- 228.
-
W. Brezna, B. Basnar, S. Golka, H. Enichlmair, J. Smoliner:
"Calibrated Scanning Capacitance Microscopy for Two-Dimensional Carrier Mapping of n-type Implants in p-doped Si-Wafers";
Vortrag: International Conference on the Physics of Semiconductors,
Flagstaff, USA;
26.07.2004
- 30.07.2004; in: "Proc. 27th International Conference on the Physics of Semiconductors",
Proc. 27th International Conference on the Physics of Semiconductors,
1
(2005),
S. 1275
- 1278.
-
J. Darmo, V. Tamosiunas, J. Kröll, T. Müller, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, K. West, L. Pfeiffer:
"THz quantum cascade lasers: magnetic field effects and waveguide properties";
Vortrag: 2004 MRS Fall Meeting,
Boston, USA;
29.11.2004
- 03.12.2004; in: "Abstracts",
(2004),
S. 46.
-
S. Golka, M. Austerer, C. Pflügl, W. Schrenk, G. Strasser:
"Processing of Deeply etched GaAs/AlGaAs quantum casdade lasers with grating structures";
Vortrag: 2004 MRS Fall Meeting,
Boston, USA;
29.11.2004
- 03.12.2004; in: "Mater. Res. Soc: Symp. Proc. Vol 829",
(2004),
S. 1
- 5.
-
S. Bychikhin, L.K.J. Vandamme, J. Kuzmik, G. Meneghesso, D. Pogany:
"Low frequency noise characterization of the GaN LEDs";
Vortrag: 5th International Conference on Advanced Semiconductor Devices and Microsystems,
Smolenice Castle, Slovakia;
17.10.2004
- 21.10.2004; in: "IEEE Proceedings of ASDAM 2004",
(2004),
S. 85
- 86.
-
C. Pflügl, S. Golka, M. Austerer, W. Schrenk, A. M. Andrews, G. Strasser:
"Surface Emitting Quantum Cascade Lasers";
Vortrag: International Conference on Infrared and Millimeter Waves,
Karlsruhe, Deutschland (eingeladen);
27.09.2004
- 01.10.2004; in: "Conference Digest of the IRMMW 2004",
(2004),
S. 157
- 158.
-
W. Schrenk, C. Pflügl, S. Golka, T. Roch, G. Strasser:
"GaAs/AlGaAs based Quantum Cascade Lasers";
Vortrag: International Conference on Infrared and Millimeter Waves,
Karlsruhe, Deutschland;
27.09.2004
- 01.10.2004; in: "Confernce Digest of the IRMMW 2004",
(2004),
S. 281
- 282.
-
F. Schrey, D. Nguyen, T. Müller, L. Rebohle, N. Regnault, R. Ferreira, G. Bastard, G. Strasser, K. Unterrainer:
"IR quantum dot detectors with miniband tunnel extraction";
Vortrag: 12th International Conference on Terahertz Electronics,
Karlsruhe, Deutschland;
27.09.2004
- 01.10.2004; in: "IEEE Conference Digest of the 2004 IRMMW 2004/THz 2004 04EX857",
(2004),
ISBN: 0-7803-8490-3;
S. 245
- 246.
-
V. Tamosiunas, R. Zobl, G. Fasching, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, L. Pfeiffer, K. West, F. Capasso:
"Magnetic modulation of THz quantum cascade lasers";
Vortrag: 12th International Conference on Terahertz Electronics,
Karlsruhe, Deutschland;
27.09.2004
- 01.10.2004; in: "IEEE Conference Digest of the 2004 IRMMW 2004/THz 2004 04EX857",
(2004),
ISBN: 0-7803-8490-3;
S. 541
- 542.
-
V. Tamosiunas, Z. Kancleris, M. Dagys, R. Simniskis, M. Tamosiuniene, G. Valusis, G. Strasser, K. Unterrainer:
"Finite-difference time-domain simulation of mid- and far-infrared quantum cascade lasers";
Vortrag: 12th International Symposium on Ultrafast Phenomena in Semiconductors,
Vilnius, Lithuania;
22.08.2004
- 25.08.2004; in: "Book of Abstracts",
(2004),
ISBN: 9986-9284-4-3;
S. 24.
-
V. Tamosiunas, S. Anders, T. Müller, W. Schrenk, G. Strasser, K. Unterrainer:
"THz quantum cascade lasers: From quantum wells to quantum boxes";
Vortrag: Colorado Meeting on Fundamental Optical Processes in Semiconductors (FOBS),
Colorado, USA (eingeladen);
08.08.2004
- 13.08.2004; in: "Proceedings for the Colorado Meeting on Fundamental Optical Processes in Semiconductors",
(2004),
S. 1.
-
R. Zobl, V. Tamosiunas, G. Fasching, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, L. Pfeiffer, K. West, F. Capasso:
"Terahertz quantum cascade lasers operating in magnetic fields";
Vortrag: The 16th International Conference on High Magnetic Fields in Semiconductor Physics,
Tallahassee, Florida;
02.08.2004
- 06.08.2004; in: "SemiMag- 16",
(2004),
S. 7.
-
L.R. Wilson, P. Green, A.B. Krysa, J.S. Roberts, H. Ng, D.G. Revin, C. Pflügl, W. Schrenk, G. Strasser, J.W. Cockburn:
"High-performance quantum cascade lasers grown by metal-orgnic vapor phase epitaxy";
Vortrag: SPIE Conference,
Denver, USA;
01.08.2004
- 06.08.2004; in: "Proc. SPIE Int. Soc. Opt. Eng.",
5564
(2004),
S. 156.
-
M. Wörner, F. Eickemeyer, K. Reimann, T. Elsaesser, S. Barbieri, C. Sirtori, T. Müller, R. Bratschitsch, K. Unterrainer, G. Strasser:
"Coherent vs. incoherent charge transport in semiconductor quantum cascade structures";
Vortrag: SPIE Conference,
Denver, USA;
01.08.2004
- 06.08.2004; in: "Proc. SPIE Int. Soc. Opt. Eng.",
5352
(2004),
S. 333.
-
G. Fasching, F. Schrey, W. Brezna, J. Smoliner, G. Strasser, K. Unterrainer:
"Photocurrent spectroscopy of single InAs/GaAs quantum dots";
Vortrag: Int. Conf. on Superlattices, Nanostructures and Nanodevices (ICSNN),
Cancun, Mexico;
19.07.2004
- 23.07.2004; in: "Programm & Abstracts",
(2004),
S. 119
- 120.
-
C. Pflügl, S. Golka, M. Austerer, W. Schrenk, G. Strasser:
"High power surface emitting quantum cascade lasers";
Vortrag: Int. Conf. on Superlattices, Nanostructures and Nanodevices (ICSNN),
Cancun, Mexico;
19.07.2004
- 23.07.2004; in: "Programm and Abstracts",
(2004),
S. 33
- 34.
-
G. Hobler:
"Simulation of Focused Ion Beam Milling";
Vortrag: SEM X Int. Congress & Exposition on Experimental and Applied Mechanics,
Costa Mesa, CA;
07.06.2004
- 10.06.2004; in: "Proc. 5th Int. Symp. MEMS and Nanotechnology",
(2004),
S. 46
- 51.
-
P Moens, K Reynders, S. Bychikhin, D. Pogany, M Zubeidat:
"Optimization of Integrated Vertical DMOS Transistors for ESD Robustness";
Vortrag: ISPSD,
Kitakyushu, Japan;
24.05.2004
- 27.05.2004; in: "Proceeding of 2004 International Symposium on Power Semiconductor Devices & ICs",
(2004),
S. 221
- 224.
-
M. Austerer, C. Pflügl, W. Schrenk, T. Roch, G. Strasser:
"Surface emitting quantum cascade laser";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Smolinice Castle, Slovakia;
17.05.2004
- 19.05.2004; in: "Proceedings of WOCSDICE 2004",
(2004),
S. 63
- 64.
-
S. Golka, W. Schrenk, G. Strasser:
"High aspect ratio etching with SiCl4 plasma for THz Photonic Crystals in GaAs";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Smolenice Castle, Slovakia;
17.05.2004
- 19.05.2004; in: "Proceeding of WOCSDICE 2004",
(2004),
S. 127
- 128.
-
J. Kuzmik, S. Harasek, G. Constantinidis, S. Hascik, D. Pogany, E. Bertagnolli, A. Georgakilas:
"Zr02/GaN metal oxide semiconductor structures characterization and application";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Smolenice Castle, Slovakia;
17.05.2004
- 19.05.2004; in: "Wocsdice 2004",
(2004),
S. 35
- 36.
-
C. Pflügl, T. Müller, W. Schrenk, T. Roch, M. Kast, F. Schrey, K. Unterrainer, G. Strasser:
"Intraband dynamics and transitions in quantum dot structures";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Smolenice Castle, Slovakia;
17.05.2004
- 19.05.2004; in: "Proceeding of the WOCSDICE 2004",
(2004),
S. 59
- 61.
-
K. Unterrainer, T. Müller, J. Darmo, G. Strasser:
"Few-cycle THz generation and spectroscopy of nanostructures";
Vortrag: The 28th Workshop on Compound Semiconductor Devices and Integrated Circuits held in Europe,
Smolenice, Slovakia (eingeladen);
17.05.2004
- 19.05.2004; in: "WOCSDICE 2004",
(2004),
ISBN: 80-227-2050-x;
S. 117.
-
J. Darmo, J. Kröll, K. Unterrainer, M. Hulman, H. Kuzmany:
"Terahertz time-resolved linear spectroscopy of single-wall carbon nanotube films";
Vortrag: CLEO/IQEC 2004,
San Franisco, USA;
16.05.2004
- 21.05.2004; in: "Technical Digest",
(2004),
Paper-Nr. JMB7,
2 S.
-
J. Kröll, J. Darmo, K. Unterrainer:
"High resolution Terahertz time-domain spectroscopy";
Vortrag: CLEO/IQEC 2004,
San Francisco, USA;
16.05.2004
- 21.05.2004; in: "Technical Digest",
(2004),
Paper-Nr. JMB5,
2 S.
-
T. Müller, F. Schrey, C. Pflügl, G. Strasser, K. Unterrainer:
"Ultrafast intraband dynamics in quantum dots";
Vortrag: CLEO/IQEC 2004,
San Francisco, USA;
16.05.2004
- 21.05.2004; in: "Technical Digest",
(2004),
Paper-Nr. IThJ4,
2 S.
-
S Anders, E. Gornik, W. Schrenk, G. Strasser:
"GaAs-based Quantum Cascade Laser Diodes";
Vortrag: Int. Conf. on Indium Phosphide and Related Materials,
Santa Barbara, California, USA (eingeladen);
12.05.2004
- 16.05.2004; in: "Conference Proceedings of Int. Conf. on InP and Related Materials",
(2004),
S. 235
- 238.
-
F. F. Schrey, T. Müller, G. Fasching, G. Strasser, K. Unterrainer:
"Ultrafast intersublevel spectroscopy on InAs/GaAs Quantum dots";
Vortrag: Quantum Dots 2004,
Banff, Canada;
10.05.2004
- 13.05.2004; in: "Program and Book of Abstracts",
(2004),
S. 135.
-
P Moens, S. Bychikhin, K Reynders, D. Pogany, M Zubeidat:
"Effects of Hot Spot Hopping and Drain Ballasting in Integrated Vertical DMOS Devices under TLP Stress";
Vortrag: International Reliability Physics Symposium (IRPS),
Phoenix, USA;
25.04.2004
- 29.04.2004; in: "Proceedings of the IRPS 2004",
(2004),
S. 241
- 246.
-
F. Schrey, G. Fasching, T. Müller, C. Pflügl, T. Roch, K. Unterrainer, G. Strasser:
"Quantum dot inter-sublevel devices";
Poster: 13th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Salzburg, Austria;
15.02.2004
- 20.02.2004; in: "Book of Abstracts",
(2004),
S. 119.
-
V. Tamosiunas, G. Fasching, J. Darmo, J. Kröll, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, K. West, L. Pfeiffer, F. Capasso:
"Magnetic quantization in terahertz Quantum cascade lasers";
Poster: 13th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
15.02.2004
- 20.02.2004; in: "Book of Abstracts",
(2004),
S. 120.
-
K. Unterrainer, T. Müller, F. Schrey, G. Fasching, C. Pflügl, G. Strasser:
"Interlevel dynamics in semiconductor nanostructures";
Vortrag: 13th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria (eingeladen);
15.02.2004
- 20.02.2004; in: "Book of Abstracts",
(2004),
S. 40.
-
K. Unterrainer, J. Darmo, J. Kröll, T. Müller, G. Strasser, T. Le, A. Stingl:
"Cavity enhanced THz generation";
Vortrag: Photonics West,
San Jose, CAL, USA (eingeladen);
24.01.2004
- 29.01.2004; in: "Technical Summary Digest",
(2004),
S. 401.
-
V. Dubec, S. Bychikhin, D. Pogany, E. Gornik, N. Jensen, M. Stecher, G. Groos:
"Error Analysis in Phase Extracton in a 2D Hologrphic Imaging of Semiconductor Devices";
Vortrag: SPIE Conference,
San Jose, California, USA;
18.01.2004
- 21.01.2004; in: "Proceedings of the SPIE Vol.5290",
(2004),
S. 233
- 241.
-
G. Hobler, A. Lugstein, W. Brezna, E. Bertagnolli:
"Simulation of focused ion beam induced damage formation in crystalline silicon";
Poster: Materials Research Society Fall Meeting (MRS),
Boston/MA, USA;
01.12.2003
- 05.12.2003; in: "Proceedings of MRS Fall Meeting 2003",
(2004),
6 S.
-
G. Otto, G. Hobler:
"Coupled kinetic Monte Carlo and molecular dynamics simulations of implant damage accumulation in silicon";
Poster: Materials Research Society Fall Meeting (MRS),
Boston/MA, USA;
01.12.2003
- 05.12.2003; in: "Proceedings of MRS Fall Meeting 2003",
(2004),
S. 1
- 6.
-
H. D. Wanzenböck, C. Almeder, C Pacher, E. Bertagnolli, e. Bogner, M. Wirth, F. Gabor:
"Cell Growth on Prestructured Microelectronic Semiconductor Materials";
Poster: MRS Fall Meeting,
Boston, USA;
01.12.2003
- 05.12.2003; in: "Proceedings if the Material Research Society Symposium on Architecture and Application of Biomaterials and Biomolecular Materials",
(2003),
S. 369
- 375.
-
H. D. Wanzenböck, B. Eichinger, A Gruen, M. Karner, K. Dominizi, P. Hagl, J. Wissenwasser, E. Bertagnolli:
"Express Pattern Fabrication - Single Step processing by Direct-write Deposition";
Poster: MRS Fall Meeting,
Boston, USA;
01.12.2003
- 05.12.2003; in: "Proceedings of the Material Research Society Symposium on Nontraditional Approaches to Patterning",
(2003),
S. 133
- 135.
-
D. Pogany, S. Bychikhin, V. Dubec, M. Blaho, M. Litzenberger, J. Kuzmik, C. Pflügl, G. Strasser, E. Gornik:
"Transient interferometric mapping of temperature and free carriers in semiconductor devices";
Vortrag: Lasers and Electro-Optics for Semiconductor Testing (IEEE-LEOS),
Tucson, Arizona, USA (eingeladen);
26.10.2003
- 30.10.2003; in: "2003 IEEE LEOS Annual Meeting Conference Proceedings",
(2003),
S. 666
- 667.
-
A. Gehring, S. Harasek, E. Bertagnolli, S. Selberherr:
"Evaluation of ZrO2 Gate Dielectrics for Advanced CMOS Devices";
Poster: European Solid-State Device Research Conference (ESSDERC),
Estoril;
16.09.2003
- 18.09.2003; in: "Proceedings of the European Solid-State Device Research Conference (ESSDERC)",
(2003),
ISBN: 0-7803-7999-3;
S. 473
- 476.
-
J. Kuzmik, M. Blaho, D. Pogany, E. Gornik, A. Alam, Y. Dikme, M. Heuken, P. Javorka, M. Marso, P. Kordos:
"Backgating, high-current and breakdown characterisation of AlGaN/GaN HEMTs on silicon substrates";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Estoril, Portugal;
16.09.2003
- 18.09.2003; in: "Proc. ESSDERC03",
(2003),
S. 319
- 322.
-
C. Pflügl, S. Anders, W. Schrenk, P Schwaha, G. Strasser:
"Electrically pumped GaAs-based quantum cascade microcavities";
Vortrag: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
28.07.2003
- 01.08.2003; in: "Program and Abstracs",
(2003),
S. 1150.
-
J. Darmo, G. Strasser, T. Roch, T. Müller, K. Unterrainer, G. Tempea:
"New generation of photoconductive few-cycle Terahertz emitters";
Vortrag: International conference on Ultrafast Optics (UFO IV.),
Wien, Österreich;
29.06.2003
- 04.07.2003; in: "Ultrafast Optics IV",
Springer,
(2003),
ISBN: 0-387-40091-5;
S. 405
- 410.
-
G. Strasser:
"GaAs-based quantum cascade lasers";
Vortrag: 11th International Symposium "Nanostructures: Physics and Technology",
St. Petersburg, Russland (eingeladen);
23.06.2003
- 28.06.2003; in: "Proc. of 11th Int. Symp. Nanostructures: Physics and Technology",
(2003),
ISBN: 5936340090;
S. 6
- 7.
-
C. Pflügl, M. Litzenberger, W. Schrenk, D. Pogany, E. Gornik, G. Strasser:
"Thermal characteristics of GaAs-based quantum cascade lasers";
Vortrag: CLEO 2003,
Baltimore, USA;
01.06.2003
- 06.06.2003; in: "Technical Digest ",
(2003),
ISBN: 1-55752-733-4;
S. 6
- 7.
-
M. Denison, M. Blaho, D Silber, J Joos, N. Jensen, M. Stecher, V. Dubec, D. Pogany, E. Gornik:
"Hot spot dynamics in quasi vertical DMOS under ESD stress";
Poster: ISPSD,
Cambridge, UK;
14.04.2003
- 17.04.2003; in: "Proceedings of ISPSD",
(2003),
ISBN: 0780378768;
S. 80
- 83.
-
J. Darmo, G. Strasser, T. Müller, T. Roch, K. Unterrainer:
"New generation of photoconductive Terahertz emitters";
Poster: GMe Forum 2003,
Wien, Austria;
10.04.2003
- 11.04.2003; in: "Proceedings GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
S. 85
- 88.
-
M. Kast, C Pacher, G. Strasser, E. Gornik:
"Transport trough Wannier-Stark states in biased finite superlattices";
Poster: GMe Forum 2003,
Wien, Austria;
10.04.2003
- 11.04.2003; in: "Proceedings GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
S. 165
- 169.
-
T. Müller, W. Parz, G. Strasser, K. Unterrainer:
"Time-resolved measurements of intersubband population dynamics";
Poster: GMe Forum 2003,
Wien, Austria;
10.04.2003
- 11.04.2003; in: "Proceedings GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
S. 93
- 96.
-
D. Pogany:
"Local Thermal and Current Imaging in Power Devices";
Poster: GMe Forum 2003,
Wien, Österreich;
10.04.2003
- 11.04.2003; in: "Proceeding GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
S. 51
- 57.
-
W. Schrenk, S Anders, C. Pflügl, E. Gornik, G. Strasser:
"Quantum Cascade Lasers";
Vortrag: GMe Forum 2003,
Wien, Austria;
10.04.2003
- 11.04.2003; in: "Proceedings GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
S. 61
- 64.
-
F. Schrey, L. Rebohle, T. Müller, S Anders, W. Schrenk, K. Unterrainer, G. Strasser:
"Modification of the photoresponce by energy level engineering in InAs quantum dot nanostructures";
Poster: GMe Forum 2003,
Wien Austria;
10.04.2003
- 11.04.2003; in: "Proceedings GMe Forum 2003",
(2003),
S. 97
- 101.
-
P Schwaha, S Anders, T. Roch, W. Schrenk, G. Strasser:
"Electrically pumped quantum cascade ring lasers";
Poster: GMe Forum 2003,
Wien, Austria;
10.04.2003
- 11.04.2003; in: "Proceeding GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
S. 77
- 80.
-
M. Blaho, D. Pogany, E. Gornik, L. Zullino, E. Morena, R. Stella, A. Andreini, H. Wolf, H. Gieser:
"Internal Behavior of BCD ESD Protection Devices under Very-Fast TLP Stress";
Vortrag: International Reliability Physics Symposium (IRPS),
Dallas, USA;
30.03.2003
- 04.04.2003; in: "Proceedings of IRPS`03",
(2003),
ISBN: 0780376498;
S. 235
- 240.
-
D. Pogany, S. Bychikhin, E. Gornik, M. Denison, N. Jensen, G. Groos, M. Stecher:
"Moving current Filaments in ESD Protection devices and their Relation to Electrical Characteristics";
Vortrag: International Reliability Physics Symposium (IRPS),
Dallas, USA;
30.03.2003
- 03.04.2003; in: "Proceedings of IRPS 03",
(2003),
ISBN: 0780376498;
S. 241
- 248.
-
D. Pogany, S. Bychikhin, J. Kuzmik, V. Dubec, N. Jensen, M. Denison, G. Groos, M. Stecher, E. Gornik:
"Investigation of thermal distribution during destructive pulses in ESD protection devices using a single-shot, two-dimensional interferometric method";
Vortrag: IEEE International Electron Devices Meeting (IEDM),
San Francisco;
09.12.2002
- 11.12.2002; in: "IEDM 2002 Technical Digest",
(2002),
S. 345
- 348.
-
J. Darmo, T. Müller, G. Strasser, T. Le, G. F. Tempea, A. Stingl:
"Photoconductive terhertz Emitter with an Integrated Semiconductor Bragg Mirror";
Poster: International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM),
Smolenice Castle, Slovakia;
14.10.2002
- 16.10.2002; in: "Conference Proc. of 4th Int. Conf. on Advanced Semiconductor Devices and Microsystems",
(2002),
S. 179
- 182.
-
S. Bychikhin, V. Dubec, M. Litzenberger, D. Pogany, E. Gornik, G. Groos, K. Esmark, W. Stadler, H. Gieser, H. Wolf:
"Investigation of ESD protection elements under high current stress in CDM-like time domain using backside laser interferometry";
Vortrag: EOS/ESD Symposium 2002,
Charlotte, USA;
08.10.2002
- 10.10.2002; in: "Proc. 24th Electrical Overstress/ Electrostatic Discharge Symposium",
(2002),
S. 387
- 395.
-
A. Lugstein, W. Brezna, B. Goebel, L. Palmetshofer, E. Bertagnolli:
"Post-Process CMOS Front End Engineering With Focused Ion Beams";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Florence, Italy;
24.09.2002
- 26.09.2002; in: "Proc. 32nd European Solid State Device Research Conference",
A. Lugstein, W. Brezna, B. Goebel, L. Palmetshofer, E. Bertagnolli (Hrg.);
(2002),
S. 111
- 114.
-
D. Pogany, M. Litzenberger, S. Bychikhin, E. Gornik, G. Groos, M. Stecher:
"A method for extraction of power dissipating sources from interferometric thermal mapping measurements";
Poster: European Solid-State Device Research Conference (ESSDERC),
Florence, Italy;
24.09.2002
- 26.09.2002; in: "Proc. 32nd European Solid State Device Research Conference",
D. Pogany, M. Litzenberger, S. Bychikhin, E. Gornik, G. Groos, M. Stecher (Hrg.);
(2002),
S. 243
- 246.
-
J. Darmo, T. Müller, G. Strasser, K. Unterrainer, T. Le, G. Tempea, A. Stingl:
"Intra- and extra - cavity THz generation from optically and electrically confined photoconducting lasers";
Vortrag: 10th Int. IEEE Conf. on Terahertz Electronics,
Cambridge, UK;
09.09.2002
- 10.09.2002; in: "IEEE Tenth International Conference on Terahertz Electronics Proceedings",
(2002),
S. 67
- 69.
-
T. Müller, R. Bratschitsch, W. Parz, G. Strasser, K. Unterrainer:
"Coherent and incoherent intersubband dynamics";
Vortrag: 10th Int. IEEE Conf. on Terahertz Electronics,
Cambridge, UK;
09.09.2002
- 10.09.2002; in: "IEEE Conf. on Terahertz Electronics",
(2002),
S. 41
- 44.
-
S. Anders, W. Schrenk, C. Pflügl, E. Gornik, G. Strasser, C. Becker, C. Sirtori:
"Room-temperature operation of GaAs-based quantum cascade lasers processed as ridge and microcavity waveguides";
Vortrag: MIOMD-V2002,
Annapolis, USA;
08.09.2002
- 11.09.2002; in: "Room temperature operation of GaAs-based quantum cascade lasers processed as ridge and microcavity",
IEE Proc.-Optoelectron.,
150
(2002),
S. 282
- 283.
-
M. Blaho, D. Pogany, E. Gornik, M. Denison, G. Groos, M. Stecher:
"Investigation of the internal behavior of a vertical DMOS transistor under short duration, high current stress by an optical thermal mapping method";
Vortrag: International Seminar on Power Semiconductors (ISPS),
Prague;
04.09.2002
- 06.09.2002; in: "Proc. of 6th Int. Seminar on power semiconductors",
M. Blaho, D. Pogany, E. Gornik, M. Denison, G. Groos, M. Stecher (Hrg.);
(2002),
S. 63
- 67.
-
F. Eickemeyer, R. Reimann, M. Woerner, T. Elsaesser, S. Barbieri, C. Sirtori, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer:
"Ultrafast gain dynamics in quantum cascade laser structures";
Vortrag: 26th Int. Conf. on the Physics of Semiconductors,
Edinburgh, Scottland;
29.07.2002
- 02.08.2002; in: "Ultrafast gain dynamics in quantum cascade laser structures",
(2002),
S. 1
- 7.
-
J. Ulrich, R. Zobl, G. Strasser, K. Unterrainer:
"Terahertz emission from semiconductor nanostructures";
Vortrag: NATO Advanced Research Workshop "Terahertz Sources and Systems",
Cheteau de Banas;
21.06.2002
- 28.06.2002; in: "Terahertz-Sources-and-Systems",
(2002),
S. 115
- 124.
-
G. Steinlesberger, A. von Grasow, C.M. Engelhardt, G. Schindler, W. Hönlein, M. Holz, E. Bertagnolli:
"Copper Damascene Interconnects for the 65 nm Technology Node: A First Lood at the Reliability Properties";
Vortrag: IITC 2002,
San Francisco;
01.06.2002
- 05.06.2002; in: "Proceedings International Interconnect Technology Conference",
(2002),
S. 265.
-
J. Darmo, T. Müller, G. Strasser, K. Unterrainer, T. Le, A. Stingl:
"Voltage-controlled intracavity THz generator for self-starting Ti:Sapphire lasers";
Poster: Conference on Lasers and Electro-Optics,
Long Beach, USA;
19.05.2002
- 24.05.2002; in: "Postdeadline papers",
Optical Society of America,
(2002),
S. 1
- 3.
-
F. Eickemeyer, K. Reimann, M. Woerner, T. Elsaesser, S. Barbieri, C. Sirtori, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer:
"Ultrafast coherent electron transport in quantum cascade structures";
Vortrag: CLEO 2002,
Long Beach USA;
19.05.2002
- 25.05.2002; in: "OSA Trends in Optics and Photonics",
Optical Society of America,
Vol. 73. Washington DC
(2002),
S. 183.
-
T. Müller, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Time-resolved measurement of intersubband population dynamics";
Vortrag: CLEO 2002,
Long Beach, USA;
19.05.2002
- 25.05.2002; in: "OSA Trends in Optics and Photonics",
Optical Society of America,
Vol. 74. Washington DC
(2002),
S. 262
- 263.
-
W. Schrenk, S. Anders, E. Gornik, G. Strasser:
"Room temperature operation of distributed feedback AlGaAs/GaAs quantum cascade lasers";
Vortrag: CLEO 2002,
Long Beach, USA;
19.05.2002
- 25.05.2002; in: "OSA Trends in Optics and Photonics",
Optical Socitey of America,
Vol. 73, Washington DC
(2002),
S. 155.
-
F. Eickemeyer, K. Reimann, M. Woerner, T. Elsaesser, S. Barbieri, C. Sirtori, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer:
"Ultrafast coherent electron transport in quantum cascade structures";
Vortrag: 13th International Conference on Ultrafast Phenomena,
Vancouver, Canada;
12.05.2002
- 17.05.2002; in: "OSA Trends in Optics anf Photonics",
Optical Society of America,
Vol. 72, Washington DC
(2002),
S. 183
- 184.
-
A. Lugstein, W. Brezna, E. Bertagnolli:
"Impact of focused Ion Beam Assisted Front End Processing on n-MOSFET Degradation";
Vortrag: 40th IEEE, Int. Reliability Physics Symposium,
Dallas, USA;
02.04.2002
- 06.04.2002; in: "Proc. of 40th IEEE, Int. Reliability Physics Symposium IRPS",
A. Lugstein, W. Brezna, E. Bertagnolli (Hrg.);
(2002),
S. 369
- 375.
-
C.M. Engelhardt, G. Schindler, W. Steinhögl, G. Steinlesberger:
"The Future of Interconnection Technology Until the End of Roadmap and Beyond";
Vortrag: Workshop on Ultimate Integration of Silicon (ULIS),
München, Germany;
06.03.2002
- 08.03.2002; in: "Proceedings 3rd European Workshop on Ultimate Integration of Silicon",
(2002),
S. 57.
-
G. Schindler, G. Steinlesberger, C.M. Engelhardt, W. Steinhögl:
"Electrical Characterization of Copper Interconnects with End-of-Roadmap Feature Sizes";
Vortrag: Workshop on Ultimate Integration of Silicon (ULIS),
München, Germany;
06.03.2002
- 08.03.2002; in: "Proceedings 3rd European Workshop on Ultimate Interation of Silicon",
(2002),
S. 65.
-
G. Steinlesberger, C.M. Engelhardt, G. Schindler, J. Kretz, W. Steinhögl, E. Bertagnolli:
"Processing Technology for the Investigatin of sub-20 Nanometer Copper Damascene Interconnects";
Vortrag: Workshop on Ultimate Integration of Silicon (ULIS),
München, Germany;
06.03.2002
- 08.03.2002; in: "Proceedings 3rd European Workshop on Ultimate Integration of Silicon",
(2002),
S. 61.
-
W. Steinhögl, C.M. Engelhardt, G. Schindler, G. Steinlesberger:
"Modelling the Electrical Resistivity of sub 50 nm Copper Wires";
Vortrag: Workshop on Ultimate Integration of Silicon (ULIS),
München, Germany;
04.03.2002
- 08.03.2002; in: "Proceedings 3rd European Workshop on Ultimate Integration of Silicon",
(2002),
S. 69.
-
R. Colombelli, F. Capasso, K. Unterrainer, C. Gmachl, A. M. Sergent, D. L. Sivco, A. Y. Cho:
"Quantum Cascade Lasers and Metal Waveguides at lambda>20µm";
Vortrag: Photonics West,
San Jose;
22.01.2002
- 24.01.2002; in: "SPIE Proceedings",
4651
(2002),
S. 146
- 156.
-
M. Litzenberger, D. Pogany, E. Gornik, K. Esmark, H. Gossner:
"Influence of stress current and pulse risetime on triggering behavior of submicron gg-NMOSFET protection devices investigated by a thermal mapping tec";
Vortrag: 7th ESD-Forum,
Berlin, Deutschland;
11.12.2001
- 12.12.2001; in: "Influence of stress current and pulse risetime on triggering behavior of submicron gg-NMOSFET protec",
(2001),
S. 105.
-
D. Pogany, E. Gornik, K. Esmark, H. Gossner:
"RTS noise due to electrostatic discharge (ESD) stress - induced localized damage in the channel of grounded-gate MOS ESD protection devices";
Vortrag: International Conference on Noise in Physical Systems and 1/f Fluctuations (ICNF 2001),
Gainsville, USA;
22.11.2001
- 25.11.2001; in: "RTS noise due to electrostatic discharge (ESD) stress - induced localized damage in the channel of g",
(2001),
S. 385
- 390.
-
M. Litzenberger, C. Fürböck, D. Pogany, E. Gornik, K. Esmark, G. Groos, M. Stecher:
"Study of trigger homogeneity in ESD protection devices using backside laser interferometry";
Vortrag: Informationstagung Mikroelektronik (ME),
Wien;
10.10.2001
- 11.10.2001; in: "Study of trigger homogeneity in ESD protection devices using backside laser interferometry",
(2001),
S. 265
- 270.
-
C. Wanzenböck, E. Bertagnolli, U. Grabner, G. Hammer, P. Pongratz:
"Structure imvestigation fo FIB-prepared microchips using transmission electron microscopy (TEM)";
Poster: Informationstagung Mikroelektronik (ME),
Wien;
10.10.2001
- 11.10.2001; in: "Structure imvestigation fo FIB-prepared microchips using transmission electron microscopy (TEM)",
(2001),
S. 325.
-
H. Wanzenböck, S. Gergov, W. Brezna, E. Bertagnolli:
"Local deposition of silicon oxide for phase shift photomasks";
Poster: Informationstagung Mikroelektronik (ME),
Wien;
10.10.2001
- 11.10.2001; in: "Local deposition of silicon oxide for phase shift photomasks",
(2001),
S. 317.
-
S. Bychikhin, M. Litzenberger, P. Kamvar, D. Pogany, E. Gornik, G. Groos, M. Stecher:
"Laser Inteferometric Mapping of Smart Power ESD Protection Devices with Different Blocking Capabilities";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Nürnberg, Germany;
11.09.2001
- 13.09.2001; in: "Laser Inteferometric Mapping of Smart Power ESD Protection Devices with Different Blocking Capabilit",
(2001),
S. 231
- 234.
-
M. Litzenberger, R. Pichler, D. Pogany, E. Gornik, K. Esmark, H. Gossner:
"Influence of Lyout parameters on Triggering Behaviour in 0.35µm and 0.18µm Process gg-nMOS ESD Protection Devices";
Poster: European Solid-State Device Research Conference (ESSDERC),
Nürnberg, Germany;
11.09.2001
- 13.09.2001; in: "Influence of Lyout parameters on Triggering Behaviour in 0.35µm and 0.18µm Process gg-nMOS ESD Prote",
(2001),
S. 335
- 338.
-
D. Pogany, C. Fürböck, M. Litzenberger, G. Groos, K. Esmark, P. Kamvar, H. Gossner, M. Stecher, E. Gornik:
"Study of trigger instabilities in smart power technology ESD protection devices using a laser interferometric thermal mapping technique";
Vortrag: EOS/ESD Symposium 2001,
Portland, USA;
09.09.2001
- 13.09.2001; in: "Study of trigger instabilities in smart power technology ESD protection devices using a laser interf",
(2001),
S. 216
- 227.
-
G. Hobler, V. Moroz:
"Initial conditions for transient enhanced diffusion: Beyond the plus-factor approach";
Vortrag: International Conference on Simulation of Semiconductor Processes and Devices (SISPAD),
Athens, Greece;
05.09.2001
- 07.09.2001; in: "Initial conditions for transient enhanced diffusion: Beyond the plus-factor approach",
Springer,
(2001),
S. 34
- 37.
-
B. Basnar, S. Golka, E. Gornik, S. Harasek, E. Bertagnolli, B. Löffler, M. Schatzmayer, H. Enichlmair, J. Smoliner:
"Bias dependent contrast in Scanning Capacitance Microscopy images";
Poster: STM Conference,
Vancouver;
15.07.2001
- 20.07.2001; in: "Bias dependent contrast in Scanning Capacitance Microscopy images",
(2001),
S. 265.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Band offsets of InAs Self-assembled Dots on GaAs studied by Ballistic Electron Emission Microscopy";
Poster: STM Conference,
Vancouver;
15.07.2001
- 20.07.2001; in: "Band offsets of InAs Self-assembled Dots on GaAs studied by Ballistic Electron Emission Microscopy",
(2001),
S. 227.
-
J. Smoliner, B. Basnar, S. Golka, E. Gornik, S. Harasek, E. Bertagnolli, B. Löffler, M. Schatzmayer, H. Enichlmair:
"Quantitaive scanning capacitance microscopy on p-doped Si-Multilayers";
Vortrag: STM Conference,
Vancouver;
15.07.2001
- 20.07.2001; in: "Quantitaive scanning capacitance microscopy on p-doped Si-Multilayers",
(2001),
S. 171.
-
T. Müller, R. Bratschitsch, N. Finger, G. Strasser, K. Unterrainer, C. Sirtori:
"Ultrafast response of a plasma Bragg mirror";
Vortrag: CLEO '01,
Baltimore, USA;
06.05.2001
- 08.05.2001; in: "Ultrafast response of a plasma Bragg mirror",
(2001),
ISBN: 155752-676-1;
S. 315.
-
T. Berber, G. Pillwein, G. Brunthaler, G. Strasser:
"Fabrication of AlGaAs Nanostructures";
Poster: GMe Forum 2001,
Wien;
05.04.2001
- 06.04.2001; in: "Fabrication of AlGaAs Nanosturctures",
(2001),
ISBN: 3-901578-07-2;
S. 159.
-
R. Bratschitsch, T. Müller, G. Strasser, K. Unterrainer:
"Coherent Terahertz Emission form Optically Pumped Parabolic Quantum Wells";
Poster: GMe Forum 2001,
Wien;
05.04.2001
- 06.04.2001; in: "Coherent Terahertz Emission from Optically Pumped Parabolic Quantum Wells",
(2001),
ISBN: 3-901578-07-2;
S. 107.
-
S. Harasek, S. Golka, J. Smoliner, E. Bertagnolli:
"Ultrathin Silicon Dioxide: Growth and Characterization";
Poster: GMe Forum 2001,
Wien;
05.04.2001
- 06.04.2001; in: "Ultrathin Silicon Dioxide: Growth and Characterization",
(2001),
S. 111
- 115.
-
M. Litzenberger, R. Pichler, C. Fürböck, S. Bychikhin, D. Pogany, E. Gornik, K. Esmark, G. Groos, H. Gossner, M. Stecher:
"Laser-interferometric investigation of tiggering behavior in CMOS and smart power technology electrostatic discharge (ESD) protection sturctures";
Vortrag: GMe Forum 2001,
Wien;
05.04.2001
- 06.04.2001; in: "Laser-interferometric investigation of tiggering behavior in CMOS and smart power technology electro",
(2001),
ISBN: 3-901578-07-2;
S. 129
- 132.
-
C. Pacher, M. Kast, M. Coquelin, G. Fasching, G. Strasser, E. Gornik:
"Ballistic Electron Spectroscopy of Quantum Mechanical Anti-reflection Coatings for GaAs/AlGaAs Superlattics";
Poster: GMe Forum 2001,
Wien;
05.04.2001
- 06.04.2001; in: "Ballistic Electron Spectroscopy of Quantum Mechanical Anti-reflection Coatings for GaAs/AlGaAs Super",
(2001),
ISBN: 3-901578-07-2;
S. 137.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Ballistic Electron Emission Spectroscopy on Biased GaAs-AlGaAs Superlattics in Transverse Magnetic Fields";
Poster: GMe Forum 2001,
Wien;
05.04.2001
- 06.04.2001; in: "Ballistic Electron Emission Spectroscopy on Biased GaAs-AlGaAs Superlattices in Transverse Magnetic",
(2001),
ISBN: 3-901578-07-2;
S. 143.
-
W. Schrenk, N. Finger, S. Gianordoli, L. Hvozdara, E. Gornik, G. Strasser:
"Infrared Quantum Cascade Laser";
Vortrag: GMe Forum 2001,
Wien;
05.04.2001
- 06.04.2001; in: "Proceedings of the Seminar",
(2001),
ISBN: 3-901578-07-2;
S. 67.
-
T. Schwarzl, W. Heiss, G. Springholz, S. Gianordoli, G. Strasser, M. Aigle, H. Pascher:
"Mode Splitting and Lasing in Detuned Lead Salt Microcavity and Microdisk Resonances";
Poster: GMe Forum 2001,
Wien;
05.04.2001
- 06.04.2001; in: "Mode Splitting and Lasing in Detuned Lead Salt Mirocavity and Microdisk Resonances",
(2001),
ISBN: 3-901578-07-2;
S. 197.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer, E. Gornik:
"Band Structure Engineering for Terahertz Quantum Cascade Lasers";
Poster: GMe Forum 2001,
Wien;
05.04.2001
- 06.04.2001; in: "Band Sturcture Engineering for Terahertz Quantum Cascade Lasers",
(2001),
ISBN: 3-901578-07-2;
S. 151.
-
C. Wanzenböck, E. Bertagnolli, J. Brenner, H. Störi:
"Effects of gas phase composition on focused ion beam induced surface deposition";
Poster: Meeting of the Electrochemical Society (ECS),
Washington D. C., USA;
25.03.2001
- 30.03.2001; in: "Effects of gas phase composition on focused ion beam induced surface deposition",
(2001),
S. 392.
-
M. Helm, G. Strasser:
"Correlation of vertical transport and infrared absorption in GaAs/AlGaAs superlattices";
Poster: International Conference on Physics of Semiconductor (ICPS),
Osaka;
18.09.2000
- 22.09.2000; in: "Proc. 25th Int. Conf. Phys. Semicond.",
Springer,
87
(2001),
S. 715.
-
C. Pacher, G. Strasser, C. Rauch, E. Gornik, F. Elsholz, A. Wacker:
"Optics with Ballistic Electrons: Anti-Reflection Coatings for GaAs-AlGaAs Superlattices";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Osaka;
18.09.2000
- 22.09.2000; in: "Proc. 25th Int. Conf. Phys. Semicond.",
Springer,
87
(2001),
S. 743.
-
K. Unterrainer, R. Bratschitsch, T. Müller, R. Kersting, J.N. Heyman, G. Strasser:
"Time domain THz spectroscopy of semiconductor quantum structures";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Osaka (eingeladen);
18.09.2000
- 22.09.2000; in: "Proc. 25th Int. Conf. Phys. Semicond.",
Springer,
87
(2001),
S. 579.
-
H. Wanzenböck, A. Lugstein, H. Langfischer, S. Harasek, E. Bertagnolli, U. Grabner, P. Pongratz, B. Basnar, J. Smoliner, E. Gornik:
"Effects of Ga-ion irradiaton on chemical and electrical properties of mateial processed by a Focused Ion Beam (FIB)";
Poster: Materials Research Society Fall Meeting (MRS),
Boston, USA;
27.11.2000
- 01.12.2000; in: "Effects on Ga-ion irradiation on chemical and electrical properties of materials processed by a Focu",
647
(2000),
S. 06.6.
-
H. D. Wanzenböck, H. Langfischer, E. Bertagnolli, H. Störi, M. Gritsch, H. Hutter:
"Material and Interface Characterisation of Locally Deposited Dielectrics and Metals with a focused Ion Beam (FIB)";
Poster: 47th International Symposium of the American Vacuum Society,
Boston, USA;
02.10.2000
- 06.10.2000; in: "47th International Symposium of the American Vacuum Society",
(2000),
S. 227.
-
H. Wanzenböck, S. Harasek, H. Langfischer, A. Lugstein, E. Bertagnolli:
"Rapid Prototyping by Local Deposition of Siliconoxide and Tungsten Nanostrutures for Interconnect Rewiring";
Poster: 47th International Symposium of the American Vacuum Society,
Boston, USA;
02.10.2000
- 06.10.2000; in: "47th International Symposium of the American Vacuum Society",
(2000),
S. 114.
-
K. Unterrainer, J. Ulrich, R. Zobl, G. Strasser, E. Gornik:
"THz sources based on semiconductor nanostructures";
Vortrag: 8th International Conference on THz-Electronics,
Darmstadt (eingeladen);
28.09.2000
- 29.09.2000; in: "Proceeding of the 8th Int. Conf. on Terahertz Electronics",
(2000),
S. 37.
-
T. Schwarzl, W. Heiss, G. Springholz, S. Gianordoli, G. Strasser, M. Aigle, H. Pascher:
"Strongly detuned IV-VI microcabity and microdisk resonsnces: mode splitting and lasing";
Poster: International Conference on Physics of Semiconductor (ICPS),
Osaka;
18.09.2000
- 22.09.2000; in: "Proc. 25th Int. Conf. Phys. Semicond.",
Springer,
87
(2000),
S. 677
- 678.
-
G. Hobler, C. Murthy:
"Towards a comprehensive model of electronic stopping in amorphous and crystalline silicon";
Vortrag: 13th Int. Conf. Ion Implantation Technology,
Alpbach, Austria;
17.09.2000
- 22.09.2000; in: "In Proceeding 13th Int. Conf. Ion Implantaion Technology,IIT-2000",
(2000),
S. 209
- 212.
-
H. Wanzenböck, A. Lugstein, H. Langfischer, E. Bertagnolli, M. Gritsch, H. Hutter:
"Ion Beam Induced Deposition of Dielectric Nanostructures";
Poster: 8th Int. Conference on Dielectric Materials, Measurement and Application (DMMA),
Edinburgh;
17.09.2000
- 21.09.2000; in: "8th Conference on Dielectric Materials, Measurement and Application",
(2000),
ISBN: 0852967306;
S. 485
- 490.
-
H. Wanzenböck, M. Verbeek, W. Maurer, E. Bertagnolli:
"FIB Based Local Deposition of Dielectrics for Phaseshift Masd Modification";
Poster: 20th Annual BACUS Symposium on Photomask Technology,
Monterey;
13.09.2000
- 15.09.2000; in: "Proceedings of the SPIE International Society for Potical Engineering",
(2000),
S. 148
- 157.
-
G. Hobler, V. Moroz:
"Simple formulae for the effective plus-factor for transient enhanced diffusion";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Cork, Ireland;
11.09.2000
- 13.09.2000; in: "ESSDERC 2000",
Frontier Group,
(2000),
S. 168
- 171.
-
M. Litzenberger, C. Fürböck, D. Pogany, E. Gornik, K. Esmark, H. Gossner:
"Investigaion of 3D Phenomena in the Triggering of gg-nMOS Electrostatic Discharge Protection Devices";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Cork, Ireland;
11.09.2000
- 13.09.2000; in: "Proceeding ESSDERC 2000",
(2000),
S. 520
- 523.
-
N. Finger, W. Schrenk, E. Gornik:
"Surface-Grating Couped Qantum Cascade Laser Structures";
Vortrag: CLEO Europe 2000,
Nice, France;
10.09.2000
- 15.09.2000; in: "CLEO Europe/IQEC 2000 Technical Digest",
(2000),
S. 85.
-
L. Hvozdara, A. Lugstein, S. Gianordoli, N. Finger, G. Strasser, W. Schrenk, K. Unterrainer, E. Bertagnolli, E. Gornik:
"Quantum cascade lasers with monolithic photonic bandgap mirrors";
Poster: CLEO Europe 2000,
Nice, France;
10.09.2000
- 15.09.2000; in: "CLEO Europe IQEC 2000 Technical Digest",
(2000),
S. 219.
-
P.O. Kellermann, N. Finger, E. Gornik, M. Ost, F. Scholz, H. Schweizer:
"Wavelength-graded surface-emitting laser array with contradirectional surface-mode coupling";
Vortrag: CLEO Europe 2000,
Nice, France;
10.09.2000
- 15.09.2000; in: "CLEO Europe/IQEC 2000 Conference Digest",
(2000),
S. 38.
-
W. Schrenk, N. Finger, S. Gianordoli, L. Hvozdara, G. Strasser, E. Gornik:
"First- and second- order distributed feedback AlGaAs/GaAs quantum cascade lasers";
Vortrag: CLEO Europe 2000,
Nice, France;
10.09.2000
- 15.09.2000; in: "CLEO Europe/IQEC 2000 Technical Digest",
(2000),
S. 85.
-
J. Ulrich, G. Strasser, K. Unterrainer:
"Terahertz-Quantum-Cascade Emitters: Interwell Versus Intrawell Tansitions";
Vortrag: CLEO Europe 2000,
Nice, France;
10.09.2000
- 15.09.2000; in: "CLEO Europe/IQEC 2000 Technical Digest",
(2000),
S. 86.
-
H. D. Wanzenböck, S. Harasek, H. Langfischer, A. Lugstein, E. Bertagnolli, M. Gritsch, H. Hutter, C. Tomastik, J. Brenner, H. Störi:
"Local Deposition of Dielectrics for the sub-um range";
Vortrag: International VLSI Multilevel Interconnection Conference (VMIC),
Santa Clara, CA;
27.06.2000
- 29.06.2000; in: "Proceeding 17th Inernational VLSI Multilevel Interconnection Conference",
(2000),
S. 127
- 142.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Coherent THz emission from optically pumped parabolic quantum wells";
Vortrag: CLEO/QELS 2000,
San Francisco, USA;
07.05.2000
- 12.05.2000; in: "CLEO/QELS “2000 Technical Digest",
(2000),
S. 254.
-
H. Wanzenböck, A. Lugstein, H. Langfischer, E. Bertagnolli, M. Gritsch, H. Hutter:
"Ion Biam Induced Chemical Vapor Deposition of Dielectirc Materials";
Poster: Materials Research Society Spring Meeting (MRS),
San Francisco, USA;
24.04.2000
- 28.04.2000; in: "Proceedings of the 2000 Material Research Society Spring-Meeting (MRS)",
(2000),
S. 354.
-
K. Esmark, C. Fürböck, H. Gossner, G. Groos, M. Litzenberger, D. Pogany, R. Zelsacher, M. Stecher, E. Gornik:
"Simulation and experimental study of temperature distribution during ESD stress in smart-power technology ESD protection structures";
Poster: International Reliability Physics Symposium,
San Jose, California;
10.04.2000
- 13.04.2000; in: "Proceeding IRPS 2000",
(2000),
S. 304
- 309.
-
H. Wanzenböck, H. Langfischer, A. Lugstein, E. Bertagnolli:
"Improved Materials for Direct Writing of Mircoelectronc Interconnects";
Poster: 2nd Intl. Conf. On Advanced Materials and Processes for Microelectronics,
Santa Clara, USA;
07.02.2000
- 14.02.2000; in: "AVS Proceedings of the 2nd Intl. Conf. On Advenced Materials and Processes for Microelectronics",
3
(2000),
S. 34.
-
S. Gianordoli, L. Hvozdara, G. Strasser, W. Schrenk, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs Interminiband Unipolar Semiconductor Laser 13 µm";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Leuven;
13.09.1999
- 15.09.1999; in: "Proc. 29th ESSDERC",
(1999),
S. 512.
-
R. Bratschitsch, R. Kersting, G. Strasser, K. Unterrainer, W. Fischler, R.A. Höpfel:
"THz emission of coherent plasmons in semiconductor superlattices";
Vortrag: CLEO/QELS,
Baltimore;
01.09.1999; in: "Technical Digest",
(1999),
S. 221.
-
R. Kersting, R. Bratschitsch, E. Thaller, G. Strasser, K. Unterrainer, J.N. Heyman:
"Excitation of intersubband transitions by THz pulses";
Vortrag: CLEO/QELS,
Baltimore;
01.09.1999; in: "Technical Digest",
(1999),
S. 219.
-
J. Bevk, G. Hobler, D.C. Jacobson, W.M. Mansfield, J. Jackson:
"Dopant profiles in dual-poly gates with buried ultra-low-energy implants";
Vortrag: International Conference on Electronic Materials,
Charlottesville;
01.07.1999; in: "40th Electronic Materials Conf. Tech. Progr.",
(1999),
S. 8.
-
N. Finger, P.O. Kellermann, W. Schrenk, E. Gornik:
"Analysis of surface mode coupled semiconductor laser structures with adjustable emission wavelength";
Vortrag: SPIE Conference,
Boston;
01.07.1999; in: "Proceedings of SPIE 1999",
Proceedings of SPIE,
3625
(1999),
S. 269.
-
O. Gauthier-Lafaye, B. Seguin-Roa, F.H. Julien, G. Strasser, P. Collot, C. Sirtori, J-Y Duboz:
"Long-wavelength High-power Quantum Fountain Unipolar Lasers in GaAs/AlGaAs Quantum Wells";
Vortrag: SPIE Conference,
Boston;
01.07.1999; in: "Proc. of SPIE",
3828
(1999),
S. 6.
-
S. Gianordoli, L. Hvozdara, G. Strasser, W. Schrenk, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs based micro lasers emitting at 10 µm and 13 µm";
Vortrag: LEOS,
San Diego;
01.07.1999; in: "Proc. LEOS `99",
(1999),
ISBN: 0-7803-5634-9;
S. 9.
-
H.-J. Gossmann, C.S. Rafferty, G. Hobler, H.-H. Vuong, D.C. Jacobson:
"Suppression of reverse short channel effect by a buried carbon layer";
Vortrag: IEEE Conference,
Piscataway;
01.07.1999; in: "IEDM Techn. Dig.",
(1999),
S. 725
- 728.
-
G. Hobler, L. Pelaz, C.S. Rafferty:
"Dose, energy and ion species dependence of the effective plusfactor for transient enhanced diffusion";
Vortrag: Process Physics and Modeling in Semiconductor Technology,
Pennington;
01.07.1999; in: "Process Physics and Modeling in Semiconductor Technology",
The Electrochemical Society,
(1999),
S. 75
- 86.
-
G. Hobler, C.S. Rafferty:
"Modeling of (311) defects";
Vortrag: MRS Warrendale,
Warrendale;
01.07.1999; in: "Mat. Res. Soc. Sym. Proceeding",
568
(1999),
S. 123
- 134.
-
L. Hvozdara, S. Gianordoli, W. Schrenk, G. Strasser, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs Intersubband MIR Lasers";
Vortrag: SPIE Conference,
Boston;
01.07.1999; in: "Proc. of SPIE",
3828
(1999),
S. 32.
-
K. Kempa, P. Bakshi, M. Ciftan, E. Gornik, K. Unterrainer, G. Strasser, C. Rauch:
"Plasmon Based Terahertz Laser Without Population Inversion";
Vortrag: SPIE Conference,
Boston;
01.07.1999; in: "Proc. of SPIE",
3828
(1999),
S. 151.
-
K. Kempa, P. Bakshi, C. Du, G. Feng, A. Scorupsky, G. Strasser, C. Rauch, K. Unterrainer, E. Gornik:
"Towards stimulated generation of coherent plasmons in nanostructures";
Vortrag: SPIE Conference,
Boston;
01.07.1999; in: "THz Spectroscopy II",
(1999),
S. 3708.
-
T. Maier, G. Strasser, E. Gornik, M. Moser, R. Hoevel:
"Integrated vertical-cavity laser diodes and resonant photodetectors with hybrid Si3N4/SiO2 top Bragg mirrors";
Vortrag: LEOS,
Boston;
01.07.1999; in: "Proc. LEOS “99",
(1999),
ISBN: 0-7803-5634-9;
S. 677.
-
R. Heer, J. Smoliner, G. Strasser, E. Gornik:
"Enhanced Energy Resolution in Ballistic Electron Emission Microscopy through InAs Base Layers";
Vortrag: Aktuelle Entwicklungen der Mikroelektronik,
Bad Hofgastein;
03.03.1999
- 06.03.1999; in: "Current Developments of Microelectronics",
(1999),
ISBN: 3-901578-04-8;
S. 181.
-
L. Hvozdara, S. Gianordoli, G. Strasser, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs Based Intersubband and Interminiband Mid-Infrared Emitters";
Vortrag: Aktuelle Entwicklungen der Mikroelektronik,
Bad Hofgastein;
03.03.1999
- 06.03.1999; in: "Current developments of microelectronics",
(1999),
ISBN: 3-901578-04-8;
S. 101.
-
T. Maier, G. Strasser, E. Gornik:
"GaAs VCSELs with dielectric Si3N4/SiO2 mirrors";
Vortrag: Aktuelle Entwicklungen der Mikroelektronik,
Bad Hofgastein;
03.03.1999
- 06.03.1999; in: "Current developments of microelectronics",
(1999),
ISBN: 3-901578-04-8;
S. 111.
-
C. Rauch, G. Strasser, E. Gornik:
"Onset of Scattering Induced Miniband Transport";
Vortrag: Aktuelle Entwicklungen der Mikroelektronik,
Bad Hofgastein;
03.03.1999
- 06.03.1999; in: "Current Developments of microelectronics",
(1999),
ISBN: 3-901578-04-8;
S. 185.
-
J. Ulrich, R. Zobl, K. Unterrainer, G. Strasser, E. Gornik, K.D. Maranowski, A.C. Gossard:
"Far-Infrared Electroluminescence in Parabolic Quantum Wells";
Vortrag: Aktuelle Entwicklungen der Mikroelektronik,
Bad Hofgastein;
03.03.1999
- 06.03.1999; in: "Current developments of microelectronics",
(1999),
ISBN: 3-901578-04-8;
S. 127.
-
R. Thalhammer, C. Fürböck, N. Seliger, E. Gornik, G. Wachutka:
"Validation and calibration of Electrothermal Device Models Using Infrared Laser Probing Techniques";
Vortrag: MSM,
Santa Clara;
02.11.1998; in: "Proc. MSM “98",
(1998),
S. 1.
-
R. Thalhammer, C. Fürböck, N. Seliger, G. Deboy, E. Gornik, G. Wachutka:
"Internal characterization of IGBTs using the backside laser probing technique";
Vortrag: ISPSD,
Boston;
01.10.1998; in: "Proc. ISPSD “98",
(1998),
S. 199
- 202.
-
W. Fischler, R. Bratschitsch, R.A. Höpfel, G. Strasser, K. Unterrainer:
"Oscillatory electron transport in GaAs/AlGaAs Superlattices";
Vortrag: European Quantum Electronics Conference,
Glasgow;
14.09.1998; in: "Proc. European Quantum Electronics Conference",
(1998),
S. 1.
-
R. Kersting, J.N. Heyman, G. Strasser, K. Unterrainer:
"Ultrafast dynamics of coherent plasmons in n-doped GaAs";
Vortrag: European Quantum Electronics Conference,
Glasgow;
14.09.1998; in: "Proc. European Quantum Electronics Conference",
(1998),
S. 1.
-
W. Fischler, R. Bratschitsch, R.A. Höpfel, G. Zandler, K. Unterrainer:
"Coherent THz-plasmons in AlGaAs/GaAs heterostructures";
Vortrag: International Quantum Electronics Conference,
San Francisco;
01.09.1998; in: "Proc. Intern. Quantum Electronics Conference “98",
(1998),
S. 151.
-
C. Messner, H. Kostner, R.A. Höpfel, K. Unterrainer:
"Ultrafast optical-pump-THz-probe spectroscopy on radiation-damaged InP";
Vortrag: International Quantum Electronics Conference,
San Francisco;
01.09.1998; in: "Proc. International Quantum Electronics Conference `98",
(1998),
S. 190.
-
E. Gornik, C.M. Engelhardt, G. Abstreiter:
"Cyclotron Resonance of N-GaAs Single Quantum Wells - Localization and Scattering Effects";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Jerusalem;
03.08.1998
- 09.08.1998; in: "Proc. ICPS 24",
(1998),
S. 1.
-
N.E. Hecker, R.A. Höpfel, N. Sawaki, T. Maier, G. Strasser:
"Large enhancement in quantum well luminescence intensity due to surface plasmons excited on a metallic grating";
Vortrag: International Conference of the Physics of Semiconductors,
Jerusalem;
03.08.1998; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
S. 1.
-
R. Heer, J. Smoliner, G. Strasser, E. Gornik:
"Temperature dependent BEEM studies on InAs/GaAs heterostructures";
Vortrag: International Conference of the Physics of Semiconductors,
Jerusalem;
03.08.1998; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
S. 1.
-
J.N. Heyman, R. Kersting, G. Strasser, K. Unterrainer, K.D. Maranowski, A.C. Gossard:
"THz Time-domain spectroscopy of intersubband transitions";
Vortrag: International Conference of the Physics of Semiconductors,
Jerusalem;
03.08.1998; in: "Proc. 24th Intern. Conference on the Physics of Semiconductors",
(1998),
S. 1.
-
K. Kempa, P. Bakshi, C. Du, G. Feng, A. Scorupsky, E. Gornik, G. Strasser, K. Unterrainer, C. Rauch:
"Intersubband scattering rates, population inversion and stimulated emission of THz plasmons in bounded quantum systems";
Vortrag: International Conference of the Physics of Semiconductors,
Jerusalem;
03.08.1998; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
S. 1.
-
C.R. Pidgeon, B. Murdin, C. Langerak, C.M. Ciesla, M. Kamal-Saadi, R.A. Stradling, E. Gornik:
"Suppression of LO phonon Emission in Zero Dimensional States";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Jerusalem;
03.08.1998
- 09.08.1998; in: "Proc. ICPS 24",
(1998),
S. 20.
-
C. Rauch, M. Kast, G. Strasser, K. Unterrainer, A. Wacker, S. Bose, E. Gornik:
"Coherence length of ballistically injected hot electrons in GaAs/AlGaAs superlattices";
Vortrag: International Conference of the Physics of Semiconductors,
Jerusalem;
03.08.1998; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
S. 1.
-
G. Strasser, S. Gianordoli, L. Hvozdara, K. Unterrainer, E. Gornik, P. Kruck, M. Helm:
"GaAs/AlGaAs Quantum Cascade Intersubband Emitter";
Vortrag: International Conference of the Physics of Semiconductors,
Jerusale;
03.08.1998; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
S. 1.
-
K. Unterrainer, R. Kersting, G. Strasser, J.N. Heyman, K.D. Maranowski, A.C. Gossard:
"Few Cycle THz spectroscopy of nanostructures";
Vortrag: International Conference of the Physics of Semiconductors,
Jerusalem;
03.08.1998; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
S. 1.
-
J. Bevk, S. Kuehne, H. Vaidya, W.M. Mansfield, G. Hobler:
"Buried ultra-low-energy gate implants for sub 0.25micron CMOS technology";
Vortrag: VLSI,
Berlin;
01.07.1998; in: "Proceeding Symp. VLSI Technology",
(1998),
S. 74
- 75.
-
C. Fürböck, N. Seliger, D. Pogany, M. Litzenberger, E. Gornik, M. Stecher, H. Goßner, W. Werner:
"Backside Laserprober Characterization of Thermal Effects during High Current Stress in Smart Power ESD Protection Device";
Vortrag: IEEE International Electron Devices Meeting (IEDM),
San Francisco;
01.07.1998; in: "Proc. IEDM Techn. Dig.",
(1998),
S. 691
- 694.
-
R. Heer, J. Smoliner, G. Strasser, E. Gornik:
"A highly transmittive semiconductor base for Ballistic Electron Emission Microscopy";
Vortrag: SXM3,
Basel;
01.07.1998; in: "Proc. SXM3",
(1998),
S. 1.
-
C. Rauch, G. Strasser, E. Gornik:
"Transition between coherent to incoherent superlattices";
Vortrag: IEEE Conference,
Boston;
01.07.1998; in: "Proc. IEEE",
(1998),
S. 267.
-
G. Strasser, S. Gianordoli, L. Hvozdara, H. Bichl, K. Unterrainer, E. Gornik, P. Kruck, M. Helm, J.N. Heyman:
"GaAs/AlGaAs intersubband mid-infrared emitter";
Vortrag: Material Research Soc. Symposium,
Boston;
01.07.1998; in: "Material Research Soc. Symp. Proceeding",
(1998),
484;
S. 165.
-
R. Zobl, M. Fuchshuber, G. Strasser, K. Unterrainer, E. Gornik, K.D. Maranowski, A.C. Gossard:
"THz Emission from Parabolically Graded Quantum Wells in Tilted Magnetic Fields";
Vortrag: IEEE Conference,
Boston;
01.07.1998; in: "Proc. 6th IEEE Conference",
(1998),
S. 227
- 230.
-
E. Gornik, C. Rauch, G. Strasser:
"Transition from coherent to incoherent superlattice transport";
Vortrag: International Symposium on Nanostructures,
St. Petersburg;
22.06.1998
- 26.06.1998; in: "Proc. 6th Intern. Symposium on Nanostructures",
(1998),
S. 1.
-
J.N. Heyman, R. Kersting, K. Unterrainer, G. Strasser, E. Gornik:
"Time-domain measurements of intersubband oscillations";
Vortrag: OSA Workshop on Radiative Processes and Dephasing in Semiconductors,
San Diego;
01.06.1998; in: "Technical Digest of the OSA Workshop",
(1998),
S. 109.
-
R. Kersting, J.N. Heyman, R. Hoffmann, G. Strasser, K.D. Maranowski, A.C. Gossard, K. Unterrainer:
"Driving a harmonic oscillator with few-cycle THz pulses";
Vortrag: International Quantum Electronics Conference,
San Francisco;
03.05.1998; in: "Proc. Intern. Quantum Electronics Conference",
(1998),
S. 1.
-
R. Kersting, J.N. Heyman, G. Strasser, K. Unterrainer:
"THz time-domain spectroscopy of intersubband transitions";
Vortrag: International Quantum Electronics Conference,
San Francisco;
03.05.1998; in: "Proc. Intern. Quantum Electronics Conference",
(1998),
S. 1.
-
M. Helm, W. Hilber, W. Heiss, B. Murdin, G. Strasser, E. Gornik, C. Langerak, C.R. Pidgeon:
"Energy relaxation of electrons in GaAs/AlGaAs quantum wells and superlattices";
Vortrag: ITQW,
Tainan, Taiwan;
15.12.1997; in: "Proc. ITQW“97",
(1997),
S. 20.
-
P. Kruck, M. Helm, G. Strasser, L. Hvozdara, E. Gornik:
"Quantum Cascade Electroluminescence in the GaAs/AlGaAs material system";
Vortrag: ITQW,
Tainan, Taiwan;
15.12.1997; in: "Proc. ITQW “97",
(1997),
S. 120.
-
P. Habas, G. Groeseneken, G. Van den Bosch, H.E. Maes, E. Gornik:
"Detailed Study of the Parasitic Geometric Current Component in Charge Pumping Measurements";
Vortrag: Semiconductor Interface Specialists Conference,
Charleston;
01.12.1997; in: "Proc. of Semiconductor Interface Specialists Conf.",
(1997),
S. 1.
-
E. Burian, D. Pogany, T. Lalinsky, S. Hascik, Z. Mozolova:
"Simulation and characterization of thermal properties of GaAs micromachined power sensor";
Vortrag: HEAD Workshop,
Smolenice Castle, Slovakia;
14.10.1997; in: "Proc. of HEAD “97 Workshop",
(1997),
S. 16.
-
K. Unterrainer, B.J. Keay, M.C. Wanke, S.J. Allen, D. Leonard, G. Medeiros-Ribeiro, U. Bhattacharya, M.J.W. Rodwell:
"Observation of Shapiro steps and direct evidence of Bloch oscillations in semiconductor superlattices";
Vortrag: International Symposium on Compound Semiconductors (ISCS),
St. Petersburg;
23.09.1997
- 27.09.1997; in: "Proceedings of 23rd Intern. Symposium on Compound Semiconductors",
(1997),
S. 729
- 734.
-
L. Hvozdara, J.N. Heyman, G. Strasser, K. Unterrainer, P. Kruck, M. Helm, E. Gornik:
"Characterization of GaAs/AlGaAs mid-infrared emitters";
Vortrag: IEEE Conference,
San Diego;
01.09.1997; in: "Proc. IEEE 24th Int. Symposium on Compound Semiconductors",
(1997),
S. 565.
-
D. Pogany, C. Fürböck, N. Seliger, P. Habas, E. Gornik, S. Kubicek, S. Decoutere:
"Optical testing of submicron-technology MOSFET`s and bipolar transistors";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Stuttgart;
01.09.1997; in: "Proc. ESSDERC “97",
(1997),
S. 372
- 375.
-
N. Seliger, D. Pogany, C. Fürböck, P. Habas, E. Gornik, M. Stoisiek:
"A study of temperature distribution in SOI-smart power devices in transient conditions by optical interferometry";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Stuttgart;
01.09.1997; in: "Proc. ESSDERC “97",
(1997),
S. 512
- 515.
-
G. Strasser, C. Rauch, K. Kempa, E. Gornik:
"Ballistic Electron Transport in Semiconductor Superlattices";
Vortrag: IEEE Conference,
San Diego;
01.09.1997; in: "Proc. IEEE 24th Int. Symposium on Compound Semiconductors",
(1997),
S. 267.
-
C. Eder, J. Smoliner, R. Heer, G. Strasser, E. Gornik:
"Direct Observation of Superlattice Minibands by Ballistic Electron Emission Microscopy";
Vortrag: STM Conference,
Hamburg;
01.07.1997; in: "Proc. 9th Int. Conf. STM 97",
(1997),
S. 1.
-
A. Golshani, P.O. Kellermann, A. Köck, E. Gornik, L. Korte:
"5 wavelength surface emitting laser diode array based on post growth adjustment of surface emission wavelength";
Vortrag: IEEE Conference,
Boston;
01.07.1997; in: "Proc. IEEE",
(1997),
S. 61
- 63.
-
G. Hobler, C.S. Rafferty, S. Senkader:
"A model of (311) defect evolution based on nucleation theory";
Vortrag: IEEE Conference,
Piscataway;
01.07.1997; in: "Intl. Conf. Simultation of Semiconductor and Devices",
(1997),
S. 73
- 76.
-
G. Hobler, H.-H. Vuong, J. Bevk, A. Agarwal, H.-J. Gossmann, D.C. Jacobson, M. Foad, A. Murrell, Y. Erokhin:
"Modeling of ultra-low-energy boron implantation in silicon";
Vortrag: IEEE Conference,
Piscataway;
01.07.1997; in: "IEDM Techm. Dig.",
(1997),
S. 489
- 492.
-
R. Kersting, K. Unterrainer, G. Strasser, H.F. Kauffmann, E. Gornik:
"Coherent Few Cycle THz Emission of Cold Plasmons";
Vortrag: QELS Conference,
Boston;
01.07.1997; in: "Proc. of the Quantum Electronics & Laser Science Conference",
(1997),
S. 1.
-
A. Köck, A. Golshani, R. Hainberger, E. Gornik, L. Korte:
"Digital beamsteering from surface emitting laser diodes based on surface-mode emission";
Vortrag: SPIE Conference,
Boston;
01.07.1997; in: "Proc. of the SPIE",
(1997),
S. 192
- 198.
-
R. von Criegern, F. Jahnel, R. Lange-Gieseler, P. Pearson, G. Hobler, A. Simionescu:
"Vertification of "lateral SIMS" ...";
Vortrag: 4th Int. Workshop on the Measurement...,
Berlin;
01.07.1997; in: "Proc. 4th Int. Workshop on the Measurement, Characterization and Modelling...",
(1997),
S. 22.1
- 22.11.
-
R. Hainberger, N. Finger, A. Golshani, A. Köck, E. Gornik, C. Gmachl, L. Korte:
"A new concept for a direct optical free-space interconnect without lenses";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Berlin;
01.09.1996; in: "Proc. of ESSDERC “96",
(1996),
S. 537
- 540.
-
A. Köck, A. Golshani, R. Hainberger, E. Gornik, L. Korte:
"Single-beam and single-mode emission from surface emitting laser diodes based on surface mode emission";
Vortrag: European Solid-State Device Research Conference (ESSDERC),
Berlin;
01.09.1996; in: "Proc. of ESSDERC “96",
(1996),
S. 541
- 544.
-
B. Murdin, W. Heiss, C.R. Pidgeon, E. Gornik, S.C. Lee, I. Galbraith, C. Langerak, H. Hertle, M. Helm:
"Time-resolved studies of intersubband relaxation using the free electron laser";
Vortrag: Hot Carriers in Semiconductors,
New York;
01.09.1996; in: "Hot Carriers in Semiconductors",
(1996),
S. 36
- 36.
-
K. Unterrainer, B.J. Keay, M.C. Wanke, S.J. Allen, D. Leonard, G. Medeiros-Ribeiro, U. Bhattacharya, M.J.W. Rodwell:
"Strong Terahertz-Photocurrent Resonances in Miniband Superlattices at the Bloch Frequency";
Vortrag: Hot Carriers in Semiconductors,
New York;
01.09.1996; in: "Proc. Hot Carriers in Semiconductors",
(1996),
S. 135.
-
M.C. Wanke, A.G. Markelz, K. Unterrainer, S.J. Allen, R. Bhatt:
"Third Harmonic Generation in a GaAs/AlGaAs Superlattice in the Bloch Oscillator regime";
Vortrag: Hot Carriers in Semiconductors,
New York;
01.09.1996; in: "Proc. Hot Carriers in Semiconductors",
(1996),
S. 161.
-
C. Wirner, C. Kiener, W. Boxleitner, E. Gornik, G. Böhm, G. Weimann:
"Drifted hot electron distribution funct. and mean free path investigated by FIR-emission";
Vortrag: Hot Carriers in Semiconductors,
New York;
01.09.1996; in: "Hot Carriers in Semiconductors",
(1996),
S. 389
- 396.
-
W. Boxleitner, C. Rauch, G. Strasser, L. Hvozdara, E. Gornik, U. Meirav, V. Umansky, H. Shtrikman:
"Electron dynamics and band structure in high quality GaAs/AlGaAs superlattices";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Berlin;
21.07.1996; in: "Proceedings ICPS 23",
World Scientific,
3
(1996),
S. 1687.
-
E. Gornik, V. Rosskopf, P. Auer, J. Smoliner, C. Wirner, W. Boxleitner, R Strenz, G. Weimann:
"Wire and Dot Related Devices";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Berlin;
21.07.1996; in: "ICPS 23 Proceeding",
(1996),
S. 159.
-
W. Heiss, B. Murdin, C. Langerak, S.C. Lee, G. Strasser, C.R. Pidgeon, I. Galbraith, E. Gornik, M. Helm:
"The LO-phonon bottleneck in the intersubband cooling in wide quantum wells";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Berlin;
21.07.1996
- 26.07.1996; in: "ICPS 23 Proceedings",
World Scientific,
3
(1996),
S. 1915.
-
G. Ploner, J. Smoliner, G. Strasser, E. Gornik:
"Magnetophonon analysis of quantum wire systems";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Berlin;
21.07.1996; in: "Proc ICPS23",
World Scientific,
2
(1996),
S. 1245.
-
N. Seliger, P. Habas, A. Köck, D. Pogany, E. Gornik:
"Backside-laser probing of transient heating in power VDMOSFET“s";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Berlin;
21.07.1996; in: "Proc. ISPS “96",
(1996),
S. 115
- 122.
-
T.P. Sosin, W. Treciakowski, M. Leszczynski, G. Strasser:
"Biaxial Deformation Effects in Quantum Wells";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Berlin;
21.07.1996; in: "Proc. ICPS23",
(1996),
S. 1.
-
C. Eder, J. Smoliner, G. Strasser, E. Gornik:
"Low temperature BEEM studies on quantum wires fabricated on GaAs-AlGaAs heterostructures";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Berlin;
01.07.1996; in: "Proc. ICPS23",
(1996),
S. 1209.
-
A. Golshani, R. Hainberger, S. Freisleben, A. Köck, E. Gornik, C. Gmachl, L. Korte:
"Efficient surface emitting AlGaAs-GaAs laser diodes based on first-order-grating-coupled surface mode emission";
Vortrag: GAAS,
München;
01.07.1996; in: "Proc. of GAAS “96",
(1996),
S. 1
- 4.
-
L. Harmatha, L. Stuchlikova, O. Csabay, L. Hvozdara, I. Thurzo, G. Strasser:
"Electrical Characterization of MBE Grown AlGaAs/GaAs Heterostructures";
Vortrag: EDS 96,
Brno;
01.07.1996; in: "Proc. Electronic Devices and Systems Conference",
(1996),
S. 10.
-
R. Kersting, K. Unterrainer, G. Strasser, E. Gornik, H.F. Kauffmann:
"Coherent Few-Cycle THz Emission of cold plasmons";
Vortrag: Gordon Research Conference on Laser Interactions with Materials,
Plymouth, USA;
01.07.1996; in: "Proc. Gordon Research Conf. on Laser Interactions with Materials",
(1996),
S. 1.
-
A. Köck, A. Golshani, R. Hainberger, E. Gornik, L. Korte:
"Single-beam and single-mode emission from surface emitting laser diodes based on surface mode emission";
Vortrag: LEOS,
Berlin;
01.07.1996; in: "Proc. of LEOS “96",
(1996),
S. 125
- 126.
-
D. Pogany, T. Lalinsky, N. Seliger, J. Kuzmik, P. Habas, P. Hrkut, E. Gornik:
"Power sensor microsystems characterization using a contactless optical laser method";
Vortrag: International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM),
Boston;
01.07.1996; in: "Proc. of ASDAM “96",
(1996),
S. 201
- 204.
-
S. Senkader, G. Hobler:
"A kinetic model for precipitation of oxygen in silicon";
Vortrag: NATO ARW,
Dordrecht;
01.07.1996; in: "Early Stages of Oxygen-Precipitation in Silicon, NATO ARW Series",
Kluwer Academic,
(1996),
S. 447
- 454.
-
S. Senkader, G. Hobler, Ch. Schmeiser:
"Modeling and simultation of oxygen precipitation in Si: Precipitate-point defect interactions and influence of hydrogen";
Vortrag: IEEE Conference,
Piscataway;
01.07.1996; in: "SISPAD`96",
(1996),
S. 31
- 32.
-
G. Strasser:
"MBE Growth of III-V Superlattices and Heterostructures";
Vortrag: 3rd Bratislava Days on Molecular Beam Epitaxy,
Bratislava;
01.07.1996; in: "Proc. Of 3rd Bratislava Days on Molecular Beam Epitaxy",
(1996),
S. 1.
-
L. Stuchlikova, L. Harmatha, O. Csabay, G. Strasser:
"Deep Energy Levels in an AlGaAs/GaAs Heterostructure";
Vortrag: EDS 96,
Brno;
01.07.1996; in: "Proc. Electronic Devices and Systems Conference",
(1996),
S. 1.
-
L. Stuchlikova, L. Harmatha, O. Csabay, L. Hvozdara, D. Buc, I. Thurzo, G. Strasser:
"Applications of Capacitance Measured Methods for Investigation of Electrical Propertiesof Quantum Well Structures";
Vortrag: 3rd Bratislava Days on Molecular Beam Epitaxy,
Bratislava;
01.07.1996; in: "Proc. of 3rd Bratislava Days on Molecular Beam Epitaxy",
(1996),
S. 1.
Vorträge und Posterpräsentationen (ohne Tagungsband-Eintrag)
-
B. Hinkov, M. David, G. Marschick, E. Arigliani, F. Pilat, P. Souza, H. Knötig, I. Doganlar, A. Lardschneider, N. Brandacher, D. Koukola, A. Schwaighofer, B. Lendl, G. Strasser:
"Mid-IR photonic integrated circuits for on-chip applications in liquid sensing and telecom";
Vortrag: Optaphi,
Wien (eingeladen);
21.06.2022.
-
B. Hinkov, M. David, F. Pilat, L. Lux, P. Souza, A. Schwaighofer, B. Schwarz, H. Detz, A. M. Andrews, B. Lendl, G. Strasser:
"Next generation of liquid spectroscopy: a monolithic sensor for protein denaturation";
Vortrag: 3rd IR Sessions by LC Week,
online (eingeladen);
08.12.2021.
-
M Giparakis, H. Knötig, H. Detz, M Beiser, B. Schwarz, W. Schrenk, G. Strasser, A. M. Andrews:
"Growth, Design, and Characterisation of an InAs/AlAsSb-based QCD at 2.7 μm";
Poster: German MBE Workshop,
virtuell;
14.10.2021
- 15.10.2021.
-
B. Schwarz:
"Frequency Comb Generation with Inter-band Cascade Lasers";
Vortrag: ISLC2021 27th Intern. Semiconductor Laser Conference,
Potsdam (eingeladen);
10.10.2021
- 14.10.2021.
Zusätzliche Informationen
-
A. Dabrowska, M. David, A. Schwaighofer, S. Freitag, A. M. Andrews, G. Strasser, B. Hinkov, B. Lendl:
"Broadband Mid-Infrared Spectroscopy employing a Quantum Cascade Laser and a Quantum Cascade Detector for Milk Protein Analysis";
Poster: SCIX 2021,
Providence, Rhode Island, USA;
26.09.2021
- 01.10.2021.
Zusätzliche Informationen
-
R Böckle, M. Sistani, W. Weber:
"Ge-based Reconfigurable Transistors: A Platform Enabling Negative Differential Resistance";
Poster: Dresden Microelectronics Academy (DMA),
Dresden;
20.09.2021
- 24.09.2021.
-
A. Dabrowska, M. David, A. Schwaighofer, S. Freitag, B. Hinkov, A. Harrer, G. Strasser, B. Lendl:
"Broadband laser-based mid-infrared spectroscopy employing a quantum cascade detector for protein analysis in aqueous solution";
Vortrag: FemChem Scientific Workshop 2021,
Wien;
20.09.2021.
Zusätzliche Informationen
-
J. Linert, P. Taus, S. Prado-Lopez, M. Pribyl, M. Haslinger, M. Mühlberger, H. D. Wanzenböck:
"Multi Technology Approach for Biomedical Devices - Vat Photopolymerization and Microfabrication Hand in Hand";
Poster: Micro and Nano Engineering Conference 2021,
Turin;
20.09.2021
- 23.09.2021.
-
O. Maier, M. Haslinger, M. Mühlberger, M. Pribyl, P. Taus, H. D. Wanzenböck, E. Guillen:
"Stability Considerations for Isolated and Dense High Aspect Ratio Nanopillars Replication by UV Nanoimprint Lithography";
Poster: Micro and Nano Engineering Conference 2021,
Turin;
20.09.2021
- 23.09.2021.
-
M. Mühlberger, S. Ruttloff, D. Nees, A. Moharana, M. Belegratis, P. Taus, H. D. Wanzenböck, S. Kopp, P. Schuller, A. Tsenov, M. Schinnerl, M. Shawrav, M. Haslinger, A. Prinz, D. Fechtig:
"Replication of biomimetic, multilevel undercut nanostructures using UV-based Nanoimprinting";
Poster: Micro and Nano Engineering Conference 2021,
Turin;
20.09.2021
- 23.09.2021.
-
M. Pribyl, P. Taus, S. Dozio, S. Prado-Lopez, S. Knafl, M. Haslinger, S. Kopp, M. Mühlberger, A. Deyett, S. Mendjan, H. D. Wanzenböck:
"Fabrication and Replication of Dense High Aspect Ratio Nanostructures for Cell Chip Applications";
Poster: Micro and Nano Engineering Conference 2021,
Turin;
20.09.2021
- 23.09.2021.
-
H. Knötig, R. Weih, N Opacak, J. Koeth, G. Strasser, B. Schwarz:
"Improving the performance of interband cascade lasers: the influence of intersubband transitions in the valence band";
Vortrag: European Semiconductor Laser Workshop,
virtuell;
17.09.2021
- 18.09.2021.
-
N Opacak, F. Pilat, D. Kazakov, S. Dal Cin, G. Ramer, B. Lendl, F. Capasso, G. Strasser, B. Schwarz:
"Measuring the Linewidth Enhancement Factor during Frequency Comb Operation";
Vortrag: European Semiconductor Laser Workshop,
virtuell;
17.09.2021
- 18.09.2021.
-
B. Schwarz:
"Integrated mid-infrared frequency comb sources";
Vortrag: 2021 Workshop on Novel Photonics Technologies,
Bordeaux (eingeladen);
10.09.2021
- 11.09.2021.
Zusätzliche Informationen
-
A. Dabrowska, M. David, A. Schwaighofer, S. Freitag, A. M. Andrews, G. Strasser, B. Hinkov, B. Lendl:
"Broadband Mid-Infrared Sensor employing a Quantum Cascade Laser and a Quantum Cascade Detector for Milk Protein Analysis";
Vortrag: ICAVS 11,
Poland;
23.08.2021
- 26.08.2021.
Zusätzliche Informationen
-
F. Frank, B. Baumgartner, M. David, C. Doganlar, G. Strasser, B. Hinkov, G. Ramer, B. Lendl:
"Development of a micromolar sensitivity dipstick mid-IR ATR sensor for phosphate in water";
Poster: ICAVS 11,
Online;
23.08.2021
- 26.08.2021.
-
M. Sistani, J. Delaforce, C. Naud, R. Kramer, M. Luong, M. Hertog, W. Weber, O. Buisson, A. Lugstein:
"A Ge quantum dot monolithically embedded in a metal-semiconductor heterostructure: from single-hole transport to proximity induced superconductivity";
Vortrag: Online Conference - JMC17,
Grenoble (eingeladen);
24.07.2021
- 27.07.2021.
-
B. Schwarz:
"Mid-infrared semiconductor laser frequency combs";
Vortrag: Nanoforum 2021,
Linz (eingeladen);
11.06.2021.
-
H Karaca, C. Fleury, S. Holland, H. Ritter, R. Krainer, V. Kumar, G. Notermans, D. Pogany:
"Triggering of multi-finger and multi-segment SCRs near the holding voltage studied by emission microscopy under DC conditions";
Vortrag: International Electrostatic Discharge workshop (IEW),
Online Conference;
17.05.2021
- 20.05.2021.
-
V. Kumar, H Karaca, S. Holland, H. Ritter, D. Pogany:
"Influencing SCR Holding Current by Segmentation Topology";
Vortrag: International Electrostatic Discharge workshop (IEW),
ONLINE Conference;
17.05.2021
- 20.05.2021.
-
B. Schwarz:
"Frequency combs in QCLs and ICLs";
Hauptvortrag: Compound Semiconductor Week (CSW),
Stockholm (eingeladen);
09.05.2021
- 13.05.2021.
-
B. Hinkov, H. Knötig, S. Lindner, R. Weih, B. Schwarz, W. Schrenk, L. Lux, H. Detz, A. M. Andrews, B. Baumgartner, J.P. Waclawek, J. Koeth, S. Höfling, B. Lendl, G. Strasser:
"Mid-infrared lasers for spectroscopic applications";
Vortrag: 1st Laser Components (LC) Talks,
Olching (eingeladen);
01.12.2020
- 02.12.2020.
-
B. Hinkov, H. Knötig, F. Pilat, S. Lindner, R. Weih, B. Schwarz, W. Schrenk, L. Lux, H. Detz, A. M. Andrews, B. Baumgartner, J.P. Waclawek, J. Koeth, B. Lendl, G. Strasser:
"Mid-infrared lasers for spectroscopic applications";
Vortrag: Online Conference LC Talks 1st Global Infrared Session,
Olching (eingeladen);
01.12.2020
- 02.12.2020.
-
G. Strasser:
"Quantum Cascade Detectors";
Vortrag: Online Conference International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich (eingeladen);
07.09.2020
- 10.09.2020.
-
B. Schwarz:
"Frequency modulated combs using semiconductor lasers";
Vortrag: Online Conference - URSI GASS 2020,
Rom (eingeladen);
29.08.2020
- 05.09.2020.
-
B. Hinkov, J. Hayden, R. Szedlak, P. Martin-Mateos, B Jerez, P. Acedo, B. Lendl, G. Strasser:
"Modulation characteristics of surface-emitting ring DFB quantum cascade lasers";
Vortrag: SPIE Photonics West 2020,
San Francisco;
01.02.2020
- 06.02.2020.
Zusätzliche Informationen
-
J Genser, M. Bartmann, V Ritter, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Ultra-High Strain of Freestanding 2D Materials";
Vortrag: MRS Boston,
Boston;
01.12.2019
- 06.12.2019.
Zusätzliche Informationen
-
V Ritter, J Genser, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Silicene passivation by few layers graphene";
Vortrag: MRS Boston,
Boston;
01.12.2019
- 06.12.2019.
-
M. Sistani, J. Delaforce, R. Kramer, N. Roch, M. Luong, M. Hertog, E. Robin, J. Smoliner, J. Yao, C. Lieber, C. Naud, A. Lugstein, O. Buisson:
"Transport in ultra-scaled Ge quantum dots";
Vortrag: MRS Boston,
Boston;
01.12.2019
- 06.12.2019.
-
A. Taylor, N Lambert, P. Hubik, J. Bulir, J. Moris-Chevalier, H Karaca, C. Fleury, J. Voves, Z. Soban, D. Pogany, V. Mortet:
"Experimental and modelled I-V characteristics of boron-doped diamond at high electric fields including self-heating effect";
Vortrag: MRS Fall Meeting,
Boston;
01.12.2019
- 06.12.2019.
-
B. Schwarz:
"Self mode-locking and FM combs: An example of Huygens « Odd kind of sympathy";
Vortrag: IS-PALD 2019,
Metz (eingeladen);
20.11.2019
- 22.11.2019.
-
C. Ostermaier, P. Lagger, M. Reiner, G. Pobegen, D. Pogany, G. Prechtl, T. Detzel, O. Häberlen:
"The role of defects on reliability aspects in GaN power devices";
Vortrag: IEEE International Reliability Workshop (IIRW),
Fallen Leaf Lake (eingeladen);
13.10.2019
- 17.10.2019.
-
K. Schlueter, K. Nordlund, M. Balden, T. Silva, G. Hobler, R. Neu:
"Crystal-Orientation-Dependent Sputtering of Tungsten";
Poster: 24th International Conference on Ion Beam Analysis (IBA2019),
Antibes, Frankreich;
13.10.2019
- 18.10.2019.
-
M Beiser, J. Hillbrand, A. M. Andrews, R. Weih, S. Höfling, G. Strasser, B. Schwarz:
"Monolithic Frequency Comb Generation and High-speed Detection based on Interband Cascade Structures";
Vortrag: German Molecular Beam Epitaxy 2019 (DEMBE2019),
Würzburg;
07.10.2019
- 08.10.2019.
-
H. Detz, S. Lancaster, M. Potocek, D. MacFarland, T. Zederbauer, W. Schrenk, A. M. Andrews, G. Strasser:
"Boron Incorporation into BGaAs for Strain Engineering";
Poster: German MBE Workshop,
Würzburg;
07.10.2019
- 08.10.2019.
-
M Giparakis, M. A. Kainz, S. Schönhuber, B. Limbacher, H. Detz, M Beiser, W. Schrenk, A. M. Andrews, G. Strasser, G. Bastard, K. Unterrainer:
"Selective Emission of a THz QCL using a Magnetic Field";
Vortrag: German Molecular Beam Epitaxy 2019 (DEMBE2019),
Würzburg;
07.10.2019
- 08.10.2019.
-
H. Detz:
"Semiconductor nanowires: A platform for novel materials and advanced heterostructures";
Vortrag: 3rd Czech-French Barrande Workshop,
Ostrava (eingeladen);
02.10.2019
- 04.10.2019.
-
B. Schwarz, N Opacak, J. Hillbrand, M Beiser, G. Strasser, R. Weih, A. Schade, S. Höfling, D. Auth, S. Breuer, M. Piccardo, F. Capasso:
"Freuquency modulated combs in semiconductor lasers";
Vortrag: IPC Annual Conference of the IEEE Photonics Society,
San Antonio (eingeladen);
29.09.2019
- 03.10.2019.
-
C. Fleury, W. Simbürger, D. Pogany:
"Effect of TLP rise time on ESD failure modes of collector-base junction of SiGe heterojunction bipolar transistors";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Toulouse;
23.09.2019
- 26.09.2019.
-
V Padovan, C Koller, G. Pobegen, C. Ostermaier, D. Pogany:
"Stress and recovery dynamics of drain current in GaN HD-GIT submitted to DC semi-on stress";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Toulouse;
23.09.2019
- 26.09.2019.
-
M. Sistani, M. Bartmann, H. Keshmiri, A. Lugstein:
"Stimulated Raman Scattering in Ge Nanowires";
Vortrag: Nanowire Week,
Pisa;
23.09.2019
- 27.09.2019.
-
M. Jaidl, M. A. Kainz, S. Schönhuber, C. Deutsch, D. Bachmann, M. Brandstetter, M. Krall, J. Darmo, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Double-metal waveguide fabrication for high performance THz Quantum Cascade Lasers";
Vortrag: Workshop on Dry Processing for Nanoelectronics & Micromechanics Deposition & Etching,
Wien;
17.09.2019
- 18.09.2019.
-
S. Lancaster, J. Hillbrand, H. Knötig, M. Schinnerl, R. Weih, A. Schade, S. Höfling, W. Schrenk, A. M. Andrews, H. Detz, J.P. Waclawek, B. Lendl, B. Schwarz, G. Strasser:
"Deep etching of Interband Cascade Laser waveguides";
Vortrag: Workshop on Dry Processing for Nanoelectronics & Micromechanics Deposition & Etching,
Wien;
17.09.2019
- 18.09.2019.
-
W. Schrenk, B. Hinkov, H.T. Hoang, G. Strasser:
"Etching of ZnO/ZnMgO quantum structures";
Vortrag: Workshop on Dry Processing for Nanoelectronics & Micromechanics Deposition & Etching,
Wien;
17.09.2019
- 18.09.2019.
-
H. Detz:
"THz Quantum Cascade Lasers: Materials Evaluation and Optimization";
Vortrag: 27th International Conference on Advanced Laser Technologies (ALT“19),
Prag (eingeladen);
15.09.2019
- 20.09.2019.
-
N Lambert, A. Taylor, P. Hubik, J. Bulir, J. More-Chevalier, H Karaca, C. Fleury, D. Pogany, V. Mortet:
"Modelling I-V characteristics of boron-doped diamond at high electric field including self-heating effect";
Poster: 30th International Conference on diamond and carbon materials,
Sevilla;
08.09.2019
- 12.09.2019.
-
J. Hillbrand, A. M. Andrews, H. Detz, H. Schneider, G. Strasser, F. Capasso, B. Schwarz:
"Picosecond pulses from mid-infrared quantum cas-cade lasers";
Vortrag: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
26.08.2019
- 30.08.2019.
-
H.T. Hoang, B. Hinkov, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Towards the fabrication of ZnO-based quantum cascade la-sers with double-metal waveguides";
Poster: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
26.08.2019
- 30.08.2019.
-
V Ritter, J Genser, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Silicene passivation by few-layer graphene";
Vortrag: Carbonhagen 2019 - 9th symposium on two-dimensional materials,
Kopenhagen;
21.08.2019
- 22.08.2019.
Zusätzliche Informationen
-
G. Strasser:
"Applied Quantum Mechanics: Sensing with Monolithically Integrated Quantum Cascade Devices";
Vortrag: MME 2019 - 30th Micromechanics and Microsystems Europe workshop,
Oxford (eingeladen);
18.08.2019
- 20.08.2019.
-
D. Pogany:
"Effects of interface and buffer defects on performance limitations and reliability of GaN HFETs";
Vortrag: Seminarvortrag am Ioffe Physicotechnical Institute of Russian Academy of Sciences,
St. Petersburg (eingeladen);
18.07.2019.
-
V Ritter, J Genser, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Silicene Passivation by Few‐Layer Graphene";
Vortrag: International Conference on Insulating Films on Semiconductors (INFOS),
Cambridge;
30.06.2019
- 03.07.2019.
Zusätzliche Informationen
-
M. Sistani, M. Bartmann, H. Keshmiri, M. Seifner, S. Barth, M. Luong, M. den Hertog, J. Smoliner, E. Bertagnolli, A. Lugstein:
"Monolithic metal-semiconductor nanowire heterostructures for electrical and optical applications";
Vortrag: 10th International Conference on Materials for Advanced Technologies (ICMAT 2019),
Singapore;
23.06.2019
- 28.06.2019.
-
J. Chauveau, N. Le Biavan, M. Hugues, D. Lefebvre, E. Frayssinet, P. de Mierry, Y. Cordier, M. Montes Bajo, J. Tamayo-Arriola, A. Hierro, A. Jollivet, M. Tchernycheva, F.H. Julien, B. Hinkov, G. Strasser, B. Meng, J. Faist:
"Wide Band Gap Semiconductors for THz Quantum Cascade Lasers and Detectors";
Vortrag: Progress in Electromagnetics Research Symposium (PIERS),
Paris;
17.06.2019
- 20.06.2019.
-
G. Strasser, B. Hinkov, R. Szedlak, H. Detz, A. M. Andrews, W. Schrenk, B. Schwarz:
"QCLs and QCDs: on-chip and remote sensing";
Vortrag: Progress in Electromagnetics Research Symposium (PIERS),
Rom (eingeladen);
17.06.2019
- 20.06.2019.
-
B. Schwarz:
"Interband and quantum cascade laser frequency combs: from fundamentals towards monolithic spectrometers";
Vortrag: Vortrag am CEITEC,
Brno;
28.05.2019.
-
F. Pilat, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, G. Strasser, B. Hinkov:
"Microfluidic Sensing based on a Monolithic Mid-Infrared QCLD";
Poster: International Congress BioNanoMed,
Graz;
15.04.2019
- 17.04.2019.
-
D Nazzari, V Ritter, J Genser, O. Bethge, E. Bertagnolli, A. Lugstein:
"Growth of submonolayer, monolayer and multilayer silicene structures and their passivation by few-layer graphene allowing ex-situ Raman characterization";
Vortrag: Topical Workshop on 2D materials at the Paul Drude Institut,
Berlin;
08.04.2019
- 10.04.2019.
-
V Ritter, J Genser, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Silicene Passivation by Few-Layer Graphene";
Poster: DPG Tagung,
Regensburg;
31.03.2019
- 05.04.2019.
-
V Ritter, J Genser, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Silicene passivation by few layers graphene";
Poster: EuroMBE,
Lenggries;
17.02.2019
- 20.02.2019.
-
M. Paur, A.J. Molina- Mendoza, R. Bratschitsch, K. Watanabe, T. Taniguchi, T. Müller:
"Electroluminescence from multi-particle exciton complexes in monolayer WSe2";
Poster: Graphene Study 2019,
Obergurgl;
03.02.2019
- 08.02.2019.
-
M. Budnowski, M. Taupin, G. Lientschnig, B. Gottsbachner, A. Lugstein, S. Paschen:
"Thermoelectric properties of FeSi nanowires";
Poster: ECMetAC Days 2018,
Posen;
03.12.2018
- 05.12.2018.
-
G. Strasser:
"On-chip and remote sensing Sensing with Quantum Cascade Devices";
Vortrag: Seminar "Halbleiterphysik und Nanotechnologie",
Leoben (eingeladen);
12.11.2018.
-
C Koller, G. Pobegen, C. Ostermaier, D. Pogany:
"The role and mechanisms of carbon in insulating GaN buffers";
Vortrag: International workshop on nitride semiconductors (IWN),
Kanazawa (eingeladen);
11.11.2018
- 16.11.2018.
-
A. Lugstein, M. Sistani, M. Luong, M. den Hertog, E. Robin, S. Krall, P. Staudinger, S. Benter, M. Bartmann, E. Bertagnolli:
"Monolithic metal-semiconductor nanowire heterostructures for electrical and optical applications";
Vortrag: Interphotonics,
Antalya, Turkey (eingeladen);
04.11.2018
- 09.11.2018.
-
H. Dodt:
"imaging of cleared biological samples with the ultramiscroscope";
Vortrag: Neuroscience,
San Diego;
03.11.2018
- 07.11.2018.
-
C Koller, G. Pobegen, C. Ostermaier, D. Pogany:
"Trap-related localized breakdown in carbon-doped GaN";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Montpellier, France;
29.07.2018
- 03.08.2018.
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, M. Pende, I. Sabdyusheva-Litschauer, M. Foroughipour:
"Fast isotropic imaging of whole mouse brains with ultramicroscopy by breaking the diffraction limit of light sheets";
Poster: 11th FENS Forum of Neuroscience,
Berlin, Deutschland;
07.07.2018
- 11.07.2018.
Zusätzliche Informationen
-
M. Budnowski, M. Taupin, G. Lientschnig, B. Gottsbachner, A. Lugstein, S. Paschen:
"Thermoelectric measurements on FeSi nanowires";
Vortrag: Solids4Fun Summer School (2018),
Waidhofen an der Ybbs, Austria;
02.07.2018
- 06.07.2018.
-
L. Prochaska, Xin. Li, D. MacFarland, A. M. Andrews, M. Bonta, E. Bianco, S. Yazdi, W. Schrenk, A. Limbeck, Q. Si, E. Ringe, G. Strasser, J. Kono, S. Paschen:
"Molecular beam epitaxy growth and physical properties of YbRh2Si2";
Poster: Solids4Fun Summer School (2018),
Ybbs;
02.07.2018
- 06.07.2018.
-
G. Hobler, D. Maciazek, Z. Postawa:
"Ion bombardment-induced atom redistribution in amorphous silicon: MD versus BCA";
Vortrag: International Conference on Simulation of Radiation Effects in Solids,
Shanghai;
17.06.2018
- 22.06.2018.
-
G. Hobler, K. Nordlund:
"Channeling maps: Assessing the binary collision approximation";
Poster: International Conference on Simulation of Radiation Effects in Solids,
Shanghai;
17.06.2018
- 22.06.2018.
-
A. Lugstein, M. Sistani, M. Luong, M. den Hertog, E. Robin, K. Sebastian, P. Staudinger, S. Benter, M. Bartmann, E. Bertagnolli:
"Synthesis and applications of monolithic metal-semiconductor nanowire heterostructures";
Vortrag: Nanowire Week,
Hamilton, Canada (eingeladen);
11.06.2018
- 15.06.2018.
-
C. Ostermaier, P. Lagger, M. Reiner, C Koller, G. Pobegen, D. Pogany:
"Dielectrics for GaN and GaN as dielectric: The role of interface and bulk defects";
Vortrag: Workshop on Dielectrics in Microelectronics (WODIM),
Berlin;
11.06.2018
- 14.06.2018.
-
S. Lancaster, H. Groiss, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Heterostructure Formation in III-V Nanowires Grown on Silicon";
Vortrag: Vienna Young Scientists Symposium (VSS),
TU Wien;
07.06.2018
- 08.06.2018.
-
M. Budnowski, M. Taupin, G. Lientschnig, B. Gottsbachner, A. Lugstein, S. Paschen:
"Thermoelectric measurements on FeSi nanowires";
Poster: C-MAC Euroschool 2018,
Krakau;
25.05.2018
- 29.05.2018.
-
G. Notermans, H. Ritter, S. Holland, D. Pogany:
"A physical approach to dynamic clamp modeling";
Poster: IEW 2018,
Priorij Corsendonk;
14.05.2018
- 18.05.2018.
-
M. Shawrav, M.-H. Chien, H. D. Wanzenböck, E. Bertagnolli, S. Schmid:
"Photo thermal analysis of FEBID gold nanostructures";
Poster: ASEM Workshop "Advanced Electron Microscopy",
Wien;
26.04.2018
- 27.04.2018.
-
G. Strasser:
"On-Chip and Remote Sensing with Monolithically Integrated Quantum Cascade Lasers and Detectors";
Vortrag: B4F Seminar,
Brno (eingeladen);
18.04.2018.
-
N. Jährling, K. Becker, S. Saghafi, B. Wegenast-Braun, S. Grathwohl, E. Kramer, R. Weiler, H. Dodt:
"Advances in Ultramicroscopy and its application in neuroscience";
Poster: International Neuroscience Winter Conference,
Sölden;
11.04.2018
- 15.04.2018.
-
R. Cecchini, S. Selmo, C. Wiemer, M. Fanciulli, E. Rotunno, L. Lazzarini, M. Rigato, D. Pogany, A. Lugstein, M. Longo:
"In-doped Sb nanowires grown by MOCVD for high speed phase change memories";
Vortrag: Materials for advanced metallizations (MAM),
Milan;
18.03.2018
- 21.03.2018.
-
D. Pogany:
"Analysis of nanosecond time scale current flow dynamics in semiconductor devices with S-shape current-voltage characteristics";
Vortrag: Seminar Czech Academy of Sciences,
Prag (eingeladen);
02.03.2018.
-
A. M. Andrews, H. Detz, T. Zederbauer, D. MacFarland, W. Schrenk, G. Strasser, M. A. Kainz, M. Brandstetter, S. Schönhuber, K. Unterrainer, L. Prochaska, M. Bonta, E. Bianco, A. Limbeck, E Ringe, S. Bühler-Paschen:
"III-V semiconductor heterostructure and heavy fermion thin films by MBE";
Vortrag: 7th Seminar of the Junge DGKK on current research projects in crystal growth and epitaxy,
Wien (eingeladen);
13.02.2018.
-
G. Strasser:
"On-Chip and Remote Sensing with Quantum Cascade Laser and Detector Systems";
Vortrag: Seminarvortrag, Inst. f. Angewandte und Technische Physik,
Wien (eingeladen);
19.12.2017.
-
S. Barth, P. Pertl, M. Seifner, A. Lugstein:
"Low Temperature Synthesis of Germanium Nanorods and Nanowires";
Vortrag: 2017 MRS Fall Meeting Boston ; Symposium NM03: Progress in Developing and Applications of Functional One-Dimensional Nanostructures,
Boston;
26.11.2017
- 01.12.2017.
-
S. Barth, P. Pertl, M. Seifner, A. Lugstein:
"Low Temperature Synthesis of Germanium-Based Nanorods and Nanowires";
Vortrag: 2017 MRS Fall Meeting Boston ; Symposium EM10: Solution-Processed Inorganics for Electronic and Photonic Device Applications,
Boston;
26.11.2017
- 01.12.2017.
Zusätzliche Informationen
-
P. Pertl, M. Seifner, A. Lugstein, S. Barth:
"Low Temperature Synthesis of Germanium Nanorods and Nanowires";
Poster: 2017 MRS Fall Meeting Boston ; Symposium EM10: Solution-Processed Inorganics for Electronic and Photonic Device Applications,
Boston;
26.11.2017
- 01.12.2017.
Zusätzliche Informationen
-
G. Strasser:
"Monolithic Integration of QC (Quantum Cascade) Lasers and Detectors to QC Systems";
Vortrag: Science Division Forum at State University of New York,
New York (eingeladen);
13.11.2017.
-
H. Dodt, S. Saghafi, K. Becker, M. Pende, C. Hahn, I. Sabdyusheva Litschauer, M. Wanis:
"Isotropic recording of whole mouse brains by light sheet microscopy breaking the diraction limit";
Poster: Neuroscience,
San Diego, USA;
03.11.2017
- 07.11.2017.
-
C. Fleury, G. Notermans, H. Ritter, D. Pogany:
"TIM, EMMI and 3D TCAD analysis of discrete-technology SCRs";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bordequx, Frankreich;
25.09.2017
- 28.09.2017.
-
C. Ostermaier, P. Lagger, M. Reiner, A. Grill, R. Stradiotto, G. Pobegen, T. Grasser, R. Pietschnig, D. Pogany:
"Review of bias-temperature instabilities at the III-N/dielectric interface";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bordequx, Frankreich;
25.09.2017
- 28.09.2017.
-
P. Pertl, M. Seifner, A. Lugstein, S. Barth:
"Low Temperature Synthesis of Germanium Nanorods and Nanowires";
Vortrag: 17th Austrian Chemistry Days 2017,
Salzburg;
25.09.2017
- 27.09.2017.
Zusätzliche Informationen
-
M. Pende, K. Becker, M. Wanis, S. Saghafi, R. Kaur, C. Hahn, T. Hummel, H. Dodt:
"Light sheet microscopy of cleared and fluorescently labeled whole adult fruit fly Drosophila melanogaster";
Poster: Annual Meeting of the Austrian Neuroscience Association,
Klosterneuburg;
24.09.2017
- 26.09.2017.
-
R. Cecchini, S. Selmo, S. Cecchi, C. Wiemer, M. Fanciulli, E. Rotunno, L. Lazzarini, M. Rigato, D. Pogany, A. Lugstein, M. Longo:
"Synthesis and electrical analysis of phase change In3Sb1Te2 nanowire-based devices with low power switching";
Vortrag: European Phase-Change and Ovonics Symposium,
Aachen, Deutschland;
03.09.2017
- 05.09.2017.
-
A. Lugstein, M. Sistani, P. Staudinger, J.M. Greil, M. Holzbauer, H. Detz, E. Bertagnolli:
"Room Temperature Quantum Ballistic Transport in Monolithic Al-Ge-Al Nanowire Heterostructures";
Vortrag: International Conference on Advanced Materials (IUMRS-ICAM),
Kyoto;
27.08.2017
- 01.09.2017.
-
C Koller, G. Pobegen, C. Ostermaier, M. Huber, D. Pogany:
"Transient capacitance analysis of thin carbon doped GaN layers";
Vortrag: Conference of Nitride Semiconductors (ICNS),
Strassbourg, Frankreich;
24.07.2017
- 28.07.2017.
-
L. Prochaska, D. MacFarland, A. M. Andrews, T. Zederbauer, M. Bonta, H. Detz, W. Schrenk, D. Geiger, Xin. Li, Hu. Guo, A. Limbeck, G. Strasser, J. Kono, E. Ringe, Q. Si, S. Paschen:
"DC and THz conductivity of MBE-grown YbRh2Si2 films";
Poster: Int. Conference on Strongly Correlated Electron systems, SCES2017,
Prague, CZ;
17.07.2017
- 21.07.2017.
-
L. Prochaska, D. MacFarland, A. M. Andrews, H. Detz, W. Schrenk, T. Zederbauer, M. Bonta, E. Bianco, A. Limbeck, J. Bernardi, E. Ringe, G. Strasser, S. Paschen:
"Films of heavy fermion compound YbRh2Si2 grown by molecular beam epitaxy";
Vortrag: Summerschool solids4fun (2017),
Waidhofen an der Ybbs, Austria;
03.07.2017
- 07.07.2017.
-
K. Nordlund, F. Djurabekova, G. Hobler:
"Effect of atom sizes in ionic compounds on channeling: channeling map analysis";
Poster: International Conference on Radiation Effects in Insulators,
Versailles, France;
02.07.2017
- 07.07.2017.
-
B. Hinkov, B. Schwarz, R. Szedlak, M. Holzbauer, A. Harrer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared Quantum Cascade Laser for highly-integrated sensor systems";
Vortrag: Nanoforum,
Linz (eingeladen);
29.06.2017
- 30.06.2017.
-
M. Sistani, P. Staudinger, J.M. Greil, M. Holzbauer, H. Detz, E. Bertagnolli, A. Lugstein:
"Room Temperature Quantum Ballistic Transport in Monolithic Al-Ge-Al Nanowire Heterostructures";
Vortrag: Collaborative Conference on Materials Research,
Jeju island, South Korea;
26.06.2017
- 30.06.2017.
-
B. Hinkov, G. Campo, F. Cappelli, I. Galli, L. Consolino, G. Giusfredi, P. Cancio, S. Borri, D. Mazzotti, S. Bartalini, J. Faist, P. De Natale:
"Towards the full frequency stabilization of quantum cascade laser frequency combs";
Vortrag: European Quantum Electronics Conference,
München;
25.06.2017
- 29.06.2017.
-
M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, G. Strasser:
"Substrate-emitting ring interband cascade lasers";
Vortrag: CLEO/Europe-EQEC 2017,
München;
25.06.2017
- 29.06.2017.
-
S. Saghafi, N. Haghi-Danaloo, K. Becker, S. Foroughpour, H. Dodt:
"Reshaping Multimode Laser Beam into a Thin Light Sheet Using Conic-Aspheric Elements";
Vortrag: European Conferences on Biomedical Optics,
München, Deutschland (eingeladen);
25.06.2017
- 29.06.2017.
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"A microprocessor based on a two-dimensional semiconductor";
Poster: Graphene Study 2017,
Gothenburg, Sweden;
25.06.2017
- 30.06.2017.
-
R. Szedlak:
"Developments on Mid-IR laser sources";
Vortrag: Chii2017,
Graz;
07.06.2017
- 08.06.2017.
Zusätzliche Informationen
-
S. Glassner, K. Winkler, B. Salem, T. Baron, R. Rurali, E. Bertagnolli, A. Lugstein:
"Electrical and optical properties of highly strained silicon nanowires";
Poster: Nanowire Week 2017,
Lund;
29.05.2017
- 02.06.2017.
-
S. Lancaster, H. Groiss, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Incorporation of Boron in GaAs nanowires grown by self-catalysed molecular beam epitaxy";
Vortrag: Nanowire Week 2017,
Lund;
29.05.2017
- 02.06.2017.
-
S. Lancaster, M. Schinnerl, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Growth of self-catalyzed nanowire via focused ion beam implantation";
Poster: Nanowire Week 2017,
Lund;
29.05.2017
- 02.06.2017.
-
M. Sistani, P. Staudinger, J.M. Greil, M. Holzbauer, H. Detz, E. Bertagnolli:
"Room Temperature Quantum Ballistic Transport in Monolithic Ultrascaled Al-Ge-Al Nanowire Heterostructures";
Vortrag: Nanowire Week 2017,
Lund;
29.05.2017
- 02.06.2017.
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, M. Pende, I. Sabdyusheva Litschauer, M. Wanis, S. Foroughpour:
"Fast recording of whole mouse brains with aspheric light sheet microscopy";
Poster: International ELMI meeting,
Dubrovnik, Kroatien;
23.05.2017
- 26.05.2017.
-
M. Pende, M. Wanis, R. Kaur, K. Becker, T. Hummel, H. Dodt:
"Light sheet microscopy of cleared and fluorescently labeled whole adult fruit fly Drosophila melanogaster";
Poster: International ELMI meeting,
Dubrovnik, Kroatien;
23.05.2017
- 26.05.2017.
-
C Koller, G. Pobegen, C. Ostermaier, M. Huber, D. Pogany:
"Leakage and voltage blocking behavior of carbon-doped GaN buffer layers";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Las Palmas de Gran Canaria, Spanien;
22.05.2017
- 24.05.2017.
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, I. Sabdyusheva Litschauer, M. Pende, M. Wanis, S. Foroughpour:
"10 Years Of Ultramicroscopy: Optics, Brains And Cancer";
Vortrag: Focus on Microscopy (FOM),
Bordeaux, France (eingeladen);
09.04.2017
- 12.04.2017.
-
C. Wiemer, S. Selmo, R. Cecchini, S. Cecchi, M. Fanciulli, E. Rotunno, L. Lazzarini, M. Rigato, D. Pogany, A. Lugstein, M. Longo:
"In-based chalcogenide nanowires for ultra-scalded phase change memory applications";
Vortrag: International Interconnect Technology and Materials for Advanced Metallization Conference (IITC/MAM),
Dresden, Deutschland;
26.03.2017
- 29.03.2017.
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, J.P. Waclawek, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Remote gas sensing with quantum cascade systems";
Vortrag: Nano and Photonics,
Mauterndorf (eingeladen);
22.03.2017
- 25.03.2017.
-
G. Strasser:
"Monolithically integrated quantum cascade laser and detection devices";
Vortrag: Freiburg Infrared Colloquium,
Freiburg (eingeladen);
14.03.2017
- 15.03.2017.
-
A. Harrer, B. Schwarz, R. Szedlak, M. Holzbauer, D. Ristanic, H. Detz, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Quantum cascade structures for sensing applications";
Vortrag: Daylight Solution Seminar,
San Diego;
10.02.2017.
-
G. Strasser:
"Monolithically integrated quantum cascade lasers (QCLs) and detectors (QCDs)";
Vortrag: Walter Schottky Institut TU-München,
München (eingeladen);
10.01.2017.
-
R. Szedlak:
"Quantum cascaded lasers (QCLs): Fundamentals and perspectives";
Vortrag: 3rd TROPSENSE Workshop,
Ulm;
09.01.2017
- 10.01.2017.
-
H. Dodt, S. Saghafi, C. Hahn, K. Becker, M. Pende, I. Sabdyusheva Litschauer, M. Wanis:
"94.01 / KKK44 - Fast recording of whole mouse brains with aspheric light sheet microscopy";
Poster: Neuroscience,
San Diego, USA;
12.11.2016
- 16.11.2016.
-
H. Dodt, S. Saghafi, C. Hahn, K. Becker, M. Pende, I. Sabdyusheva Litschauer, M. Wanis:
"Fast recording of whole mouse brains with aspheric light sheet microscopy";
Vortrag: Exner Lecture,
Wien;
09.11.2016.
-
L. Prochaska, D. MacFarland, A. M. Andrews, M. Bonta, H. Detz, W. Schrenk, T. Zederbauer, A. Limbeck, G. Strasser, J. Bernardi, S. Paschen:
"First results on YbRh2Si2 film growth by molecular beam epitaxy";
Poster: Workshop on Frontiers of Quantum Materials, Rice University, Houston, USA,
Houston;
04.11.2016
- 05.11.2016.
-
L. Prochaska, D. MacFarland, A. M. Andrews, M. Bonta, H. Detz, W. Schrenk, T. Zederbauer, A. Limbeck, G. Strasser, J. Bernardi, S. Paschen:
"Film growth of the heavy fermion compound YbRh2Si2 by molecular beam epitaxy";
Vortrag: German MBE Workshop 2016,
Garching, Deutschland;
13.10.2016
- 14.10.2016.
-
H. D. Wanzenböck, E. Bertagnolli:
"Arrays of Single-Domain Nanomagnets As Memory- Logic-Hybrid Device - a Nonvolatile Magnetic Memory That Can Perform Logical Operations";
Vortrag: Pacific Rim Meeting (PRIME) of the Electrochemical Society (ECS),
Honolulu, USA;
02.10.2016
- 07.10.2016.
-
H. D. Wanzenböck, E. Bertagnolli:
"Gentle, Nondestructive Monitoring of Wound Healing in Cell-Based Assays Using Electrochemical Impedance Spectroscopy";
Vortrag: Pacific Rim Meeting (PRIME) of the Electrochemical Society (ECS),
Honolulu, USA;
02.10.2016
- 07.10.2016.
-
H. D. Wanzenböck, E. Bertagnolli:
"Maskless Direct-Write Etching of Nanopit Arrays in Si";
Vortrag: Pacific Rim Meeting (PRIME) of the Electrochemical Society (ECS),
Honolulu, USA;
02.10.2016
- 07.10.2016.
-
H. D. Wanzenböck, M. Gavagnin, E. Bertagnolli:
"Unleashing the Magic of Nanomagnet Assemblies - Direct-Write Deposition of Nanomagnet Logic Circuitry";
Vortrag: Pacific Rim Meeting (PRIME) of the Electrochemical Society (ECS),
Honolulu, USA;
02.10.2016
- 07.10.2016.
-
H. D. Wanzenböck, P. Schuller, E. Bertagnolli:
"How Microfluidics Can Help to Understand and Promote Nerve Healing after Injury: A Neurobiological Microfluidic Device with Electrophysiological Functionality";
Vortrag: Pacific Rim Meeting (PRIME) of the Electrochemical Society (ECS),
Honolulu, USA;
02.10.2016
- 07.10.2016.
-
H. D. Wanzenböck, P. Schuller, M. Gergits, M. Shawrav, J. Mika, P. Scholze, E. Bertagnolli:
"Controlling Neuronal Connectivity - A Microfluidic Microelelctrode Array for Evaluation of Neurotrophic Factors";
Poster: 42nd International Conference on Nano Engineering, MNE 2016,
Wien;
19.09.2016
- 23.09.2016.
-
B. Schwarz, D. Ristanic, P. Reininger, W. Schrenk, H. Detz, T. Zederbauer, A. M. Andrews, D. MacFarland, G. Strasser:
"QCL based liquid sensing 2.0: A single chip solution";
Vortrag: SCIX 2016,
Minneapolis (eingeladen);
18.09.2016
- 23.09.2016.
-
G. Strasser:
"III-V Materials";
Vortrag: Joint Summer School of Infineon Austria and TU Wien,
Villach (eingeladen);
29.08.2016
- 02.09.2016.
-
B. Schwarz, D. Ristanic, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Plasmonic waveguide based mid-infrared lab-on-a-chip";
Vortrag: META“16 - The 7th International Conference on Metamaterials, Photonic Crystals and Plasmonics,
Malaga;
25.07.2016
- 28.07.2016.
-
L. Prochaska, D. MacFarland, A. M. Andrews, H. Detz, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"Heavy fermion film growth by molecular beam epitaxy";
Poster: DK Solids4Fun Summer School 2016,
Ybbs;
04.07.2016
- 08.07.2016.
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, M. Pende, M. Wanis, I. Sabdyusheva Litschauer:
"Rapid imaging of whole mouse brains by asperic light sheet microscopy";
Poster: Forum of Neuroscience,
Copenhagen, Denmark;
02.07.2016
- 06.07.2016.
-
D. Pogany:
"GaN device and characterization activities at TU Wien";
Vortrag: Seminar at Institute of Electrical Engineering, Slovak Academy of Sciences,
Bratislava (eingeladen);
24.06.2016.
-
G. Strasser:
"Monolithically Integrated Mid-infrared Nanosensors";
Vortrag: Seminar an der Fakultät für Chemie der Univ. Wien,
Wien (eingeladen);
24.06.2016.
-
G. Hobler, M. Nietiadi, R.M. Bradley, Herbert M. Urbassek:
"Sputtering of silicon membranes with nanoscale thickness";
Vortrag: International Conference on Simulation of Radiation Effects in Solids,
Loughborough, GB;
19.06.2016
- 24.06.2016.
-
S. Lindsey, G. Hobler, D. Maciazek, Z. Postawa:
"Simple model of surface roughness for binary collision sputtering simulations";
Poster: International Conference on Simulation of Radiation Effects in Solids,
Loughborough, GB;
19.06.2016
- 24.06.2016.
-
G. Hobler:
"Combined binary collision and continuum mechanics model applied to focused ion beam milling of a silicon membrane";
Vortrag: International Conference on Computer Simulation on Radiation Effects in Solids,
Alicante, Spanien;
08.06.2016
- 13.06.2016.
-
G. Strasser:
"MIR lab-on-a-chip: from discrete devices to an integrated system";
Vortrag: WOCSDICE-EXMATEC 2016,
Aveiro (eingeladen);
06.06.2016
- 10.06.2016.
-
A. Lugstein, M. Glaser, S. Glassner, S. Prucnal, A. Johannes, S. Conesa-Boj, C. Ronning, A. Fontcuberta i Morral, W. Skorupa, E. Bertagnolli:
"Quantum dots in group IV nanowires";
Vortrag: International Conference Smart and Multifunctional Maerias Structures & Systems,
Perugia, Italy (eingeladen);
05.06.2016
- 09.06.2016.
-
S. Cwik, P. Taus, M. Shawrav, D. Peeters, H. D. Wanzenböck, A. Devi:
"Application of tailored metal-organic precursors in Focused Electron Beam Induced Deposition (FEBID) of Iron Oxides (FeOX): Fe(tbaoac)3 and Fe(pki)2";
Vortrag: CELINA,
Krakow, Polen;
18.05.2016
- 20.05.2016.
-
W. Simbürger, M. Rigato, C. Fleury, D. Pogany, J. Willemen, V. Vendt, T. Schwingshackl, A. D“Arbonneau:
"ESD Protection Devices and Technologies: Recent Advances and Trends";
Vortrag: International Electrostatic Discharge workshop (IEW),
Tutzing, Deutschland;
17.05.2016.
-
A. Harrer, B. Schwarz, R. Szedlak, M. Holzbauer, T. Zederbauer, H. Detz, A. M. Andrews, D. MacFarland, W. Schrenk, H. Moser, J.P. Waclawek, B. Lendl, G. Strasser:
"Mid-infrared sensing based on quantum cascade structures";
Vortrag: NextLite Workshop,
Wien;
03.05.2016
- 04.05.2016.
-
S. Glassner, P. Periwal, T. Baron, E. Bertagnolli, A. Lugstein:
"Electroluminescence from NiSi2/silicon/NiSi2 nanowire heterostructures operated at high electric fields";
Vortrag: E-MRS Spring Meeting,
Lille, Frankreich;
02.05.2016
- 06.05.2016.
-
A. Lugstein, F. Brunbauer, S. Kral, C. Zeiner, E. Bertagnolli:
"Electron Transport Phenomena in Al-Ge⟨111⟩-Al Nanowire Heterostructures";
Vortrag: European Materials Research Society (EMRS),
Lille, Frankreich;
02.05.2016
- 06.05.2016.
-
B. Schwarz, D. Ristanic, P. Reininger, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared spectroscopy on a single chip";
Poster: MESS16 - Microelectronic Systems Symposium,
Wien;
28.04.2016
- 29.04.2016.
-
R. Szedlak, M. Holzbauer, B. Schwarz, J.P. Waclawek, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Gas sensing with bi-functional ring resonators as laser and detector";
Poster: MESS16 - Microelectronic Systems Symposium,
Wien;
28.04.2016
- 29.04.2016.
-
W. Wallisch, M. Stöger-Pollach, E. Navickas, A. Steiger-Thirsfeld, O. Bethge:
"Analysis of optical properties of LSM-LAO interfaces by means of VEELS";
Vortrag: 6th ASEM Workshop,
Leoben;
28.04.2016
- 29.04.2016.
-
B. Schwarz:
"Quantum cascade laser/detector and plasmonic for mid-infrared integrated photonics";
Vortrag: Experimental Condensed Matter Physics Seminar an der RWTH Aachen,
Aachen (eingeladen);
15.03.2016.
-
D. Pogany:
"Noise-based techniques for gas sensing";
Vortrag: 4th International Action Workshop on Innovations and Challenges for Air Quality Control Sensors (EuNetAir),
Wien (eingeladen);
25.02.2016
- 26.02.2016.
-
A. M. Andrews, T. Zederbauer, D. MacFarland, H. Detz, P. Reininger, B. Schwarz, W. Schrenk, G. Strasser:
"Growth of AlxIn1-xAs1-ySby for InAs-based Quantum Cascade Detectors";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
21.02.2016
- 26.02.2016.
-
S. Lancaster, A. M. Andrews, T. Zederbauer, D. MacFarland, H. Detz, G. Strasser:
"Impact of Annealing Temperature on Au/Zn/Au Contacts to GaAs Nanowires";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
21.02.2016
- 26.02.2016.
-
A. Lugstein:
"Tuning the electrical and electro-optical properties of group IV nanowires via strain";
Vortrag: International Conference on Nanoscience and Nanotechnology,
Canberra, Australia (eingeladen);
07.02.2016
- 11.02.2016.
-
C. Ostermaier, P. Lagger, G. Prechtl, A. Grill, T. Grasser, D. Pogany:
"The role of electron transport in the charge trapping at the III-N/dielectric interface in AlGaN/GaN MIS-HEMT structures";
Vortrag: Semiconductor Interface Specialists Conference,
Arlington, VA, USA;
02.12.2015
- 05.12.2015.
-
H. Dodt:
"Ultramicroscopy of Cleared Brains and Tumors";
Vortrag: Global Engange“s Microscopy Congress 2015,
Magdeburg, Deutschland;
30.11.2015
- 01.12.2015.
-
M. Rigato, C. Fleury, D. Pogany, W. Simbürger:
"Transient interferometric mapping technique (TIM): an effective tools to understand ESD and device breakdown";
Poster: Infineon University Evening 2015,
Neubiberg, Germany;
12.11.2015.
-
G. Strasser:
"Monolithically Integrated Nanosensors based on Quantum Cascade Lasers and Detectors";
Hauptvortrag: Seminar Moderne Analytische Chemie,
Wien;
31.10.2015.
-
A. Lugstein, S. Glassner, S. Kral, E. Bertagnolli:
"Hot electroluminescence in Si nanowires";
Vortrag: Nanowires,
Barcelona, Spain;
26.10.2015
- 30.10.2015.
-
C. Fleury, M. Capriotti, M. Rigato, O. Hilt, J. Würfl, J. Derluyn, S. Steinhauer, A. Köck, G. Strasser, D. Pogany:
"High temperature performances of normally-off p-GaN gate AlGaN/GaN HEMTs on SiC and Si substrates for power applications";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Toulouse, France;
05.10.2015
- 09.10.2015.
-
M. Rigato, C. Fleury, M. Heer, W. Simbürger, D. Pogany:
"ESD characterization of multi-finger RF nMOSFET transistors by TLP and transient interferometric mapping technique";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Toulouse;
05.10.2015
- 09.10.2015.
-
W. Schrenk, R. Szedlak, D. Ristanic, B. Schwarz, P. Reininger, A. Harrer, H. Detz, D. MacFarland, A. M. Andrews, G. Strasser:
"Integrated Ring Laser Systems for Spectroscopy based on Quantum Cascade Structures";
Vortrag: SCIX - The great Scientific Exchange,
Providence (RI), United States;
27.09.2015
- 02.10.2015.
-
L. Prochaska, Sami Dzsaber, Gaku Eguchi, W. Artner, A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"A new molecular beam epitaxy system for the growth of heavy fermion thin films";
Poster: NGSCES 2015: The New Generation in Strongly Correlated Electron Systems,
Trogir; Croatia;
14.09.2015
- 18.09.2015.
-
A. Harrer, R. Szedlak, B. Schwarz, H. Moser, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Towards a monolithic integrated surface emitting and detecting mid-infrared gas sensor";
Poster: ITQW,
Wien;
06.09.2015
- 11.09.2015.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Thermal Optimization of Ring Quantum Cascade Lasers";
Poster: ITQW,
Wien;
06.09.2015
- 11.09.2015.
-
R. Szedlak, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"How whispering gallery modes can influence the far field of ring lasers";
Poster: ITQW,
Wien;
06.09.2015
- 11.09.2015.
-
M. Capriotti, E. Bahat-Treidel, C. Fleury, O. Bethge, F. Brunner, O. Hilt, J. Würfl, D. Pogany, G. Strasser:
"High performances normally-off AlGaN/GaN True-MOS with sub-micrometric gate features";
Vortrag: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
01.09.2015
- 04.09.2015.
-
C. Fleury, M. Capriotti, M. Rigato, O. Hilt, J. Würfl, J. Derluyn, G. Strasser, D. Pogany:
"Vertical breakdown in AlGaN/GaN high electron mobility transistors";
Vortrag: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
01.09.2015
- 04.09.2015.
-
A. Harrer, B. Schwarz, R. Szedlak, J.P. Waclawek, H. Moser, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"A bi-functional surface emitting and detecting mid-infrared device for sensing applications";
Vortrag: ÖPG-Jahrestagung,
Wien;
01.09.2015
- 04.09.2015.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Thermal Management in Ring Quantum Cascade Lasers";
Vortrag: ÖPG-Jahrestagung,
Wien;
01.09.2015
- 04.09.2015.
-
N. Jährling, S. Saghafi, K. Becker, I. Sabdyusheva, M. Wanis, H. Dodt:
"Ultramicroscopy in neuroscience";
Vortrag: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
01.09.2015
- 04.09.2015.
-
J. Maier, H. Detz, G. Strasser:
"Atomistic Interface Modeling in III-V Semiconductor Superlattices";
Vortrag: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
01.09.2015
- 04.09.2015.
-
T. Zederbauer, A. M. Andrews, D. MacFarland, H. Detz, W. Schrenk, G. Strasser:
"MBE Growth Optimization of GaAsSb";
Vortrag: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
01.09.2015
- 04.09.2015.
-
D. Pogany:
"Use of TIM technique to probe free carrier and thermal dynamics in ESD protection devices";
Vortrag: NXP Hamburg,
Hamburg (eingeladen);
19.08.2015.
-
T. Dimopoulos, J. Kaur, O. Bethge, E. Bertagnolli:
"Solar cells with electrodeposited Cu2O absorber for low cost photovoltaics";
Vortrag: Nanoenergy,
Liverpool, UK;
27.07.2015
- 29.07.2015.
-
M. Shawrav, J. Mika, H. D. Wanzenböck, P. Taus, Z. Gökdeniz, E. Bertagnolli:
"Combining in-situ etching and deposition-FEBIE modified germanium nanowire devices with electrical contacts by FEBID gold";
Poster: Biennial European Conference on Chemical Vapor Deposition,
Sempach, Schweiz;
13.07.2015
- 17.07.2015.
-
H. D. Wanzenböck, M. Shawrav, M. Gavagnin, A. Persson, K. Gunnarsson, P. Svedlindh, M. Stöger-Pollach, E. Bertagnolli:
"Pushing nanomagnet logic into a higher dimension FEBID of 3-dimensional nanomagnetic arrays";
Poster: Biennial European Conference on Chemical Vapor Deposition,
Sempach, Schweiz;
13.07.2015
- 17.07.2015.
-
H. D. Wanzenböck, M. Shawrav, G. Hochleitner, E. Bertagnolli:
"Nozzle-based injection systems for FEBID characteristics and limiations";
Poster: Biennial European Conference on Chemical Vapor Deposition,
Sempach, Schweiz;
13.07.2015
- 17.07.2015.
-
H. D. Wanzenböck, M. Shawrav, S. Wachter, P. Taus, E. Bertagnolli:
"Carrier gas controlled precursor delivery a way to increase process control in FEBID";
Vortrag: Biennial European Conference on Chemical Vapor Deposition,
Sempach, Schweiz (eingeladen);
13.07.2015
- 17.07.2015.
-
A. Harrer, P. Reininger, R. Gansch, B. Schwarz, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, O. Baumgartner, H. Kosina, G. Strasser:
"Quantum Cascade Detectors for Sensing Applications";
Vortrag: ICAVS8,
Wien;
12.07.2015
- 17.07.2015.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"High Performance Ring Quantum Cascade Laser for Sensing Applications";
Poster: ICAVS8,
Wien;
12.07.2015
- 17.07.2015.
-
G. Strasser, D. Ristanic, B. Schwarz, P. Reininger, H. Detz, A. M. Andrews, T. Zederbauer, D. MacFarland, W. Schrenk:
"A Mid-Infrared On-Chip Sensor Array Based on Bi-functional Quantum Cascade Structures and Plasmonics";
Hauptvortrag: ICAVS8,
Wien (eingeladen);
12.07.2015
- 17.07.2015.
-
R. Szedlak, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Quantum Cascade Lasers for Infrared Spectroscopy";
Vortrag: ICAVS8,
Wien;
12.07.2015
- 17.07.2015.
-
A. Harrer, R. Szedlak, M. Holzbauer, B. Schwarz, H. Moser, E. Mujagic, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Monolithic integrated on-chip sensing utilizing plasmonics";
Vortrag: 1st Next-Lite Workshop,
Hirschwang an der Rax;
18.06.2015
- 19.06.2015.
-
R. Szedlak, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Probing whispering gallery modes in ring lasers";
Vortrag: 1st Next-Lite Workshop,
Hirschwang an der Rax;
18.06.2015
- 19.06.2015.
-
M. Brandstetter, C. Deutsch, M. Krall, S. Schönhuber, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High Power THz Quantum Cascade Lasers for Real-Time Imaging";
Poster: German THz Conference 2015,
Dresden;
08.06.2015
- 10.06.2015.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Light extraction from ring quantum cascade lasers";
Vortrag: Nanoforum 2015,
Linz (eingeladen);
08.06.2015
- 09.06.2015.
-
S. Schönhuber, C. Deutsch, M. Brandstetter, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz Intersubband Random Lasers";
Poster: German THz Conference 2015,
Dresden;
08.06.2015
- 10.06.2015.
-
R. Szedlak, A. Harrer, M. Holzbauer, B. Schwarz, H. Moser, E. Mujagic, C. Deutsch, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, K. Unterrainer, B. Lendl, G. Strasser:
"Infrared and terahertz spectroscopy with ring quantum cascade lasers";
Vortrag: German THz Conference 2015,
Dresden;
08.06.2015
- 10.06.2015.
-
T. Dimopoulos, J. Kaur, O. Bethge, E. Bertagnolli:
"Solar cells with electrodeposited Cu2O absorber for low-cost photovoltaics";
Poster: Nanoenergy,
Manchester, UK;
01.06.2015
- 03.06.2015.
-
L. Prochaska, A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"Developing heavy fermion film growth by molecular beam epitaxy";
Poster: C-MAC Euroschool 2015 Material synthesis and characterization applied to complex metallic alloys,
Bratislava;
01.06.2015
- 05.06.2015.
-
H. D. Wanzenböck, M. Gavagnin, M. Shawrav, A. Persson, K. Gunnarsson, P. Svedlindh, M. Stöger-Pollach, E. Bertagnolli:
"3-dimensional nanomagnet logic (NML) gates - A new approach for logic application of wire-like magnetic nanostructures";
Vortrag: International Workshop on Magnetic Nanowires and Nanotubes,
Meersburg, Germany (eingeladen);
17.05.2015
- 20.05.2015.
-
J. Kaur, N. Bansal, A. Wibowo, M. Bauch, I. Khan, S. Edinger, O. Bethge, E. Bertagnolli, T. Dimopoulos:
"Heterojunction solar cells based on electrodeposited cuprous oxide (Cu2O) absorber and ALD-grown ZnO";
Poster: European Materials Research Society (EMRS),
Lille;
11.05.2015
- 15.05.2015.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, S. Wachter, E. Bertagnolli:
"Focused Electron Beam Induced Deposition of Noble metals";
Vortrag: ASEM Workshop "Advanced Electron Microscopy",
Graz;
07.05.2015
- 08.05.2015.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, S. Wachter, M. Schinnerl, E. Bertagnolli:
"Focused Electron Beam Induced Deposited multi-material nanoalloys";
Poster: CELINA,
Erlangen;
06.05.2015
- 09.05.2015.
-
A. Lugstein:
"Wired quantum dots";
Vortrag: E-MRS Spring Meeting,
San Francisco, USA (eingeladen);
06.04.2015
- 10.04.2015.
-
K. Winkler, A. Lugstein, E. Bertagnolli:
"Giant and anomalous piezoresistive effcts in Si nanowire";
Vortrag: MRS Spring Meeting,
San Francisco, USA;
06.04.2015
- 10.04.2015.
-
G. Strasser:
"Growth and Processing of III-V Nanostructures";
Vortrag: Symposium Recent Advances in Semiconductor Nanostructures,
Paris, Frankreich (eingeladen);
03.04.2015.
-
O. Bethge:
"High-K gate oxides for electronic applications";
Vortrag: Seminar am Institut für Materialchemie,
Wien (eingeladen);
25.03.2015.
-
G. Strasser, I. Tanveer, B. McCombe, H. Detz:
"Effective masses of Quasi-2D electrons in InGaAs/GaAsSb modulation-doped heterostructures";
Poster: Annual March Meeting of the American Physical Society,
San Antonio;
02.03.2015
- 06.03.2015.
-
A. Lugstein:
"Nanowires - building blocks for novel devices";
Vortrag: Institut de Ciencia de Materials de Barcelona (ICMAB-CSIC), Campus de Bellterra,
Barcelona, Spanien;
23.02.2015.
-
P. Reininger, B. Schwarz, A. Harrer, T. Zederbauer, H. Detz, A. M. Andrews, R. Gansch, W. Schrenk, G. Strasser:
"High-responsivity photovoltaic intersubband detectors";
Vortrag: Photonics West,
San Francisco, USA;
07.02.2015
- 12.02.2015.
-
B. Schwarz, D. Ristanic, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"A mid-infrared on-chip sensor based on bi-functional quantum cascade structures & plasmonics";
Vortrag: Photonics West,
San Francisco, USA;
07.02.2015
- 12.02.2015.
-
S. Steinhauer, D. Pogany, C. Seidl, G. Mutinati, T. Maier, A. Köck:
"Low-frequency noise characterization of single CuO nanowire gas sensors";
Vortrag: 1st International Conference on Functional Integrated nano Systems,
Graz;
03.12.2014
- 05.12.2014.
-
A. Lugstein, M. Glaser, S. Prucnal, A. Johannes, C. Ronning, S. Conesa-Boj, F. Morral, E. Bertagnolli:
"Wired quantum dot heterostructures";
Vortrag: MRS Fall Meeting 2014,
Boston, MA, USA;
30.11.2014
- 05.12.2014.
-
S. Wagesreither, E. Bertagnolli, S. Kawase, Y. Isono, A. Lugstein:
"Investigations on the Piezoresistivity of Monolithically Integrated VLS Grown Silicon Nanowires by Electrostatic Actuation with a MEMS Device";
Vortrag: MRS Fall Meeting 2014,
Boston, MA, USA;
30.11.2014
- 05.12.2014.
-
H. Dodt, K. Becker, C. Hahn, N. Jährling, S. Saghafi:
"Optics for the imaging of cleared samples";
Poster: Annual Meeting Society of Neuroscience,
Washington DC;
15.11.2014
- 19.11.2014.
-
C. Fleury, M. Rigato, W. Simbürger, D. Pogany:
"Transient Interferometric Mapping of SiGe-base RF BJTs in 0,35 μm B7HFV technology under ESD stress";
Poster: Infineon University Evening 2014,
München;
06.11.2014.
-
M. Rigato, C. Fleury, W. Simbürger, D. Pogany:
"ESD characterization of RF-NMOS transistors in 0.13 μm CMOS technology with transient interferometric mapping";
Poster: Infineon University Evening 2014,
München;
06.11.2014.
-
H. D. Wanzenböck:
"Academic curricula in Austria - Microelectronics";
Vortrag: Invited Lecture at Dalian Nationalities University,
Dalian;
05.11.2014.
-
H. D. Wanzenböck:
"Nanostructuring by focused electron beam induced deposition";
Vortrag: Invited Lecture at Dalian Nationalities University,
Dalian;
05.11.2014.
-
D. Pogany:
"Probing the current flow, thermal and free-carrier dynamics in ESD protection devices during ESD stress by TIM technique";
Hauptvortrag: Taiwan ESD and Reliability Conference,
Hsinchu City, Taiwan;
03.11.2014
- 05.11.2014.
-
A. Harrer, P. Reininger, B. Schwarz, R. Gansch, S. Kalchmair, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, O. Baumgartner, H. Kosina, G. Strasser:
"Advances in Quantum Cascade Detector Design";
Vortrag: 4th International Nanophotonics Meeting 2014,
Igls;
23.10.2014
- 25.10.2014.
-
M. Holzbauer, R. Szedlak, C. Schwarzer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared Ring Cavities";
Vortrag: 4th International Nanophotonics Meeting 2014,
Igls;
23.10.2014
- 25.10.2014.
-
M. Krall, D. Bachmann, C. Deutsch, M. Brandstetter, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Thermal Characterization of Terahertz Quantum Cascade Lasers";
Vortrag: 4th International Nanophotonics Meeting 2014,
Igls;
23.10.2014
- 25.10.2014.
-
S. Schönhuber, C. Deutsch, M. Brandstetter, M. Krall, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Laser II - Microdisk THz QCLs and Random Lasers";
Vortrag: 4th International Nanophotonics Meeting 2014,
Igls;
23.10.2014
- 25.10.2014.
-
M. Capriotti, O. Bethge, C. Fleury, A. Alexewicz, E. Bertagnolli, D. Pogany, G. Strasser:
"Gate dielectric in GaN-based Metal Oxide Semiconductor High Electron Mobility Transistors: an overview on technology, issues and limitations";
Vortrag: FBH-Institutskolloquium,
Berlin;
10.10.2014.
-
H. D. Wanzenböck:
"Testdesigns für Morpho-Blue NIL";
Vortrag: M6 Projektpräsentation "RollerNIL",
Salzburg;
09.10.2014.
-
H. Dodt, K. Becker, C. Hahn, N. Jährling, S. Saghafi:
"Imaging of cleared biological samples with the Ultramicroscope";
Vortrag: 1st LightSheet Fluorescence Microscopy International Conference,
Barcelona;
25.09.2014
- 26.09.2014.
-
S. Glassner, C. Zeiner, P. Periwal, T. Baron, E. Bertagnolli, A. Lugstein:
"Electrostatic modulated Schottky and interband tunnelling in silicon nanowire heterostructure devices";
Poster: European Materials Research Society (EMRS),
Warschau, Polen;
16.09.2014
- 20.09.2014.
-
Z. Gökdeniz, M. Shawrav, H. D. Wanzenböck, I. Bassem, C. Zeiner, A. Lugstein, E. Bertagnolli:
"Direct-write chlorine based etching of semiconductor devices utilizing a SEM";
Poster: 18th International Microscopy Congress,
Prag;
07.09.2014
- 12.09.2014.
-
A. Harrer, B. Schwarz, R. Gansch, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared detectors with on-chip light collection";
Poster: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Bari;
07.09.2014
- 14.09.2014.
-
P. Reininger, B. Schwarz, A. Harrer, T. Zederbauer, H. Detz, A. M. Andrews, R. Gansch, W. Schrenk, G. Strasser:
"Room temperature quantum cascade detector";
Vortrag: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Bari;
07.09.2014
- 14.09.2014.
-
S. Schönhuber, M. Brandstetter, C. Deutsch, M. Krall, M. Liertzer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, S. Rotter, K. Unterrainer:
"Novel Photonic Concepts for THz QCLs: Coupled Microdisk Cavities and Random Lasers";
Poster: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Policoro;
07.09.2014
- 14.09.2014.
-
B. Schwarz, D. Ristanic, P. Reininger, A. Harrer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Monolithically integrated chemical sensor based on intersubband transitions and plasmonics";
Vortrag: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Bari;
07.09.2014
- 14.09.2014.
-
G. Strasser:
"Growth and Processing of QCLs: from Material Aspects to Integrated Optics";
Vortrag: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Bari (eingeladen);
07.09.2014
- 14.09.2014.
-
T. Zederbauer, D. MacFarland, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Various Barrier Materials for Terahertz Quantum Cascade Lasers";
Poster: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Bari;
07.09.2014
- 14.09.2014.
-
H. Dodt, K. Becker, C. Hahn, S. Saghafi:
"Improved Imaging of cleared biological samples with the ultramicroscope";
Poster: 9th FENS Forum of Neuroscience,
Mailand;
05.09.2014
- 07.09.2014.
-
H. Dodt, C. Hahn, N. Jährling, S. Saghafi, K. Becker:
"Visualization of neuronal networks in the mouse brain, spinal cord and mouse embryos by ultramicroscopy";
Vortrag: The 16th Spinal Research Network Meeting,
London;
05.09.2014
- 06.09.2014.
-
M. Capriotti, P. Lagger, C. Fleury, R. Stradiotto, M. Oposich, C. Ostermaier, G. Strasser, D. Pogany:
"Effect of III-N Barrier Resistance on CV Characteristics in GaN-based MOSHEMTs in Spill-Over Regime";
Poster: International Workshop on Nitride Semiconductors (IWN 2014),
Wroclaw;
24.08.2014
- 29.08.2014.
-
P. Lagger, M. Reiner, G. Denifl, M. Stadtmüller, D. Pogany, C. Ostermaier:
"Understanding the Fundamental Limitations for the Improvement of Forward Gate Bias induced Vth Drift Stability of GaN based MIS-HEMTs";
Vortrag: International Workshop on Nitride Semiconductors (IWN 2014),
Wroclaw;
24.08.2014
- 29.08.2014.
-
A. Lugstein, L. Dobusch, M. M. Furchi, A. Pospischil, T. Müller, E. Bertagnolli:
"Giant thermovoltage in single layer MoS2 field-effect-transistors";
Vortrag: IUMRS 2014,
Fukuoka, Japan;
24.08.2014
- 30.08.2014.
-
H. D. Wanzenböck, M. Gavagnin, S. Wachter, M. Shawrav, M. Stöger-Pollach, A. Persson, K. Gunnarsson, P. Svedlindh, E. Bertagnolli:
"Functional nanomagnets by FEBID - How to make them - How to use them";
Hauptvortrag: Condensed Matter in Paris 2014 - CMD25-JMC14,
Paris;
24.08.2014
- 29.08.2014.
-
H. Dodt:
"Ultramicroscopy of cleared samples";
Vortrag: Light Sheet Microscopy Practical Course 2014,
Dresden;
18.08.2014
- 29.08.2014.
-
L. Prochaska, A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"Towards heavy fermion films grown by MBE";
Poster: QCM14 - Quantum Critical Matter - From Atoms To Bulk,
Obergurgl, Austria;
18.08.2014
- 23.08.2014.
-
H. Detz, G. Strasser:
"Atomistic Modeling of Bond Lengths in Ternary III-V Semiconductor Alloy";
Vortrag: 32nd International Conference on the Physics of Semiconductors (ICPS2014),
Austin;
10.08.2014
- 15.08.2014.
-
M. Holzbauer, P. Klang, H. Detz, A. M. Andrews, P. Bakshi, G. Strasser, E. Gornik:
"Intersubband plasmon coupling enhanced current transport";
Vortrag: 32nd International Conference on the Physics of Semiconductors (ICPS2014),
Austin;
10.08.2014
- 15.08.2014.
Zusätzliche Informationen
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Focused Emission Beam from Ring Quantum Cascade Lasers";
Vortrag: 32nd International Conference on the Physics of Semiconductors (ICPS2014),
Austin;
10.08.2014
- 15.08.2014.
Zusätzliche Informationen
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Quantum Cascade Lasers with Grating Phase Shifts";
Vortrag: 32nd International Conference on the Physics of Semiconductors (ICPS2014),
Austin;
10.08.2014
- 15.08.2014.
Zusätzliche Informationen
-
H. Dodt, N. Jährling, S. Saghafi, C. Hahn, K. Becker:
"Visualisation of neuronal networks in the mouse brain and mouse embryos by ultramicroscopy";
Vortrag: 2nd International Summer School of the SFB 944,
Osnabrück;
23.07.2014
- 25.07.2014.
-
J. Mika, M. Shawrav, H. D. Wanzenböck, M. Gavagnin, B. Ismail, C. Zeiner, A. Lugstein, M. Stöger-Pollach, E. Bertagnolli:
"Electrical tailoring of semiconductor nanodevices by Electron beam induced modification with chlorine";
Poster: 5th workshop on Focused Electron Beam Induced Processing FEBIP2014,
Frankfurt;
22.07.2014
- 24.07.2014.
-
S. Wachter, M. Gavagnin, H. D. Wanzenböck, M. Shawrav, D. Belic, E. Bertagnolli:
"Nitrogen Carrier Gas for Regime Control in Focused Electron Beam Induced Deposition";
Poster: 5th workshop on Focused Electron Beam Induced Processing FEBIP2014,
Frankfurt;
22.07.2014
- 24.07.2014.
-
H. D. Wanzenböck, M. Gavagnin, S. Wachter, M. Shawrav, A. Persson, K. Gunnarsson, P. Svedlindh, M. Stöger-Pollach, E. Bertagnolli:
"FEBID takes it into a higher dimension - 3-dimensional nanomagnet logic arrays";
Poster: 5th workshop on Focused Electron Beam Induced Processing FEBIP2014,
Frankfurt;
22.07.2014
- 24.07.2014.
-
H. D. Wanzenböck, M. Gavagnin, S. Wachter, M. Shawrav, A. Persson, K. Gunnarsson, P. Svedlindh, M. Stöger-Pollach, E. Bertagnolli:
"Magnetic spike tips for high-aspect ratio magnetic force microscopy";
Vortrag: 5th workshop on Focused Electron Beam Induced Processing FEBIP2014,
Frankfurt;
22.07.2014
- 24.07.2014.
-
M. Glaser, S. Prucnal, A. Johannes, S. Conesa-Boj, A. Lugstein, E. Bertagnolli:
"'Integrating III-V Materials into Silicon Nanowires by Ion Implantation and Flash Lamp Annealing";
Vortrag: International Conference on Nanoscience and Technology (ICNT),
Vail, Colorado, USA;
20.07.2014
- 25.07.2014.
-
L. Prochaska, A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"The rocky road to heavy fermion films grown by molecular beam epitaxy";
Poster: DK Solids4Fun Summer School,
Hernstein;
14.07.2014
- 18.07.2014.
-
L. Prochaska, A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"Molecular beam epitaxy of heavy fermion compounds";
Poster: NGSCES 2014 - The New Generation in Strongly Correlated Electron Systems 2014,
Nizza, France;
16.06.2014
- 20.06.2014.
-
M. Capriotti, A. Alexewicz, C. Fleury, J. Derluyn, D. Visalli, D. Pogany, G. Strasser:
"Different layer designs for normally-off GaN HEMTs with ultrathin AlN barrier, GaN cap and in situ SiN passivation";
Vortrag: WOCSDICE-EXMATEC 2014,
Delphi;
15.06.2014
- 20.06.2014.
-
C. Fleury, M. Capriotti, O. Hilt, J. Würfl, G. Strasser, D. Pogany:
"Temperature extraction in Normally-Off AlGaN/GaN HEMTs using Transient Interferometric Mapping0";
Vortrag: WOCSDICE-EXMATEC 2014,
Delphi;
15.06.2014
- 20.06.2014.
-
B. Schwarz, P. Reininger, D. Ristanic, A. M. Andrews, W. Schrenk, G. Strasser:
"Plasmonics and quantum cascade structures for on-chip-sensing";
Vortrag: Nanoforum 2014,
Linz;
01.06.2014
- 24.06.2014.
-
D. Pogany:
"GaN HEMT technology and device reliability activities at TU Vienna";
Vortrag: Nanotechnology Institute,
INSA de Lyon, Villeurbanne, France (eingeladen);
23.05.2014.
-
D. Pogany:
"GaN HEMT/MIS-HEMT technology and device reliability activities at TU Vienna";
Vortrag: MINATEC,
Grenoble, France (eingeladen);
22.05.2014.
-
D. Pogany:
"GaN electronics and optoelectronics from ESD perspective";
Vortrag: International Electrostatic Discharge workshop (IEW),
Villard de Lans, France (eingeladen);
19.05.2014
- 22.05.2014.
-
R. Szedlak, C. Schwarzer, M. Holzbauer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Polarization and Far Field Modifications of Ring Lasers";
Poster: Infrared & Raman Discussion Group,
Wien;
24.04.2014
- 25.04.2014.
-
A. Lugstein, J.M. Greil, S. Birner, E. Bertagnolli:
"Strain enabled photovoltaics", MRS, San Francisco";
Vortrag: MRS Spring Meeting,
San Francisco, USA;
21.04.2014
- 25.04.2014.
-
E. Navickas, S. Löffler, O. Bethge, J. Fleig:
"In- and Across-Plane Conductivities of YSZ Layers Obtained from a Single Impedance Measurement";
Poster: Annual SFB FOXSI PhD Workshop "FOXSKI",
Göstling/Ybbs;
07.04.2014
- 10.04.2014.
-
S. Noack, A. Johannes, H. Holland-Moritz, M. Glaser, A. Lugstein, C. Ronning:
"Enhanced Sputtering Effects of Ion Irradiated Silicon Nanowires";
Poster: DPG-Frühjahrstagung der Sektion Kondensierte Materie,
Dresden;
30.03.2014
- 04.04.2014.
-
S. Wagesreither, K. Winkler, A. Lugstein, Y. Isono, E. Bertagnolli:
"Tunable Straining of Silicon Nanowires Integrated in a MEMS Device by Electrostatic Actuation";
Vortrag: Smart Systems Integration (SSI) 2014,
Wien;
26.03.2014
- 27.03.2014.
-
H. D. Wanzenböck, M. Gavagnin, S. Wachter, M. Shawrav, M. Stöger-Pollach, E. Bertagnolli:
"KickElectron-induced fragmentation of Fe(CO)5 to magnetic nanostructures";
Poster: CELINA EU-COST action - Kick-off meeting,
Erlangen;
20.03.2014
- 21.03.2014.
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Cascade Lasers - Integrated Phase Shifts & On-Chip Focusing";
Vortrag: Joint Symposium SFB IR-ON & SFB Next-Lite,
Wien;
13.03.2014
- 14.03.2014.
-
H. D. Wanzenböck, S. Waid, G. Hobler, S. Lindsey:
"2.5D-Nanoimprint Lithography";
Poster: NIL Industrial Day,
Linz;
13.03.2014
- 14.03.2014.
-
M. Brandstetter, C. Deutsch, M. Krall, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High performance symmetric active region THz quantum casacade lasers";
Vortrag: 6th International Workshop on Terahertz Technology and Applications,
Kaiserslautern;
11.03.2014
- 12.03.2014.
-
A. M. Andrews, M. Brandstetter, M. Krall, C. Deutsch, T. Zederbauer, D. MacFarland, H. Detz, W. Schrenk, K. Unterrainer, G. Strasser:
"Role of Facet Type on Terahertz Quantum Cascade Lasers with Metal-Metal Waveguides";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
23.02.2014
- 28.02.2014.
-
H. Detz, G. Strasser:
"Atomistic Modeling of Structural Properties in III-V Semiconductor Materials";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
23.02.2014
- 28.02.2014.
-
C. Schwarzer, R. Szedlak, S. Ahn, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"DFB Grating Phase-Shifts for Quantum Cascade Ring Lasers";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
23.02.2014
- 28.02.2014.
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Polarization Features of Ring Cascade Lasers";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
23.02.2014
- 28.02.2014.
-
A. M. Andrews, M. Brandstetter, C. Deutsch, M. Krall, H. Detz, D. MacFarland, T. Zederbauer, W. Schrenk, G. Strasser, K. Unterrainer:
"Increasing THz QCL active region thickness by wafer bonding";
Hauptvortrag: WOCSEMMAD,
San Antonio, TX, USA;
16.02.2014
- 19.02.2014.
-
C. Ostermaier, P. Lagger, M. Reiner, G. Pobegen, D. Pogany:
"Is PBTI at the dielectric/III‐N interface limited by interface traps?";
Vortrag: WOCSEMMAD,
San Antonio, TX, USA;
16.02.2014
- 17.02.2014.
-
C. Deutsch, M. Brandstetter, M. Krall, T. Zederbauer, G. Strasser, K. Unterrainer:
"Novel THz quantum cascade laser active materials and designs";
Vortrag: Photonics West,
San Francisco, USA (eingeladen);
01.02.2014
- 06.02.2014.
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Cascade Lasers with Integrated Pi-Phase-Shifts";
Vortrag: Photonics West,
San Francisco, USA (eingeladen);
01.02.2014
- 06.02.2014.
-
C. Deutsch, M. Brandstetter, M. Krall, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Terahertz Quantum Cascade Lasers: From Growth Asymmetries Towards Watt-level Performance";
Poster: 20 Years of Quantum Cascade Laser Workshop,
Zürich, Schweiz;
16.01.2014
- 17.01.2014.
-
M. Martl, C. Deutsch, M. Brandstetter, P. Klang, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Analysis of THz QCL optical properties using a monolithically integrated THz emitter";
Poster: 20 Years of Quantum Cascade Laser Workshop,
Zürich, Schweiz;
16.01.2014
- 17.01.2014.
-
G. Strasser:
"Quantum cascade ring lasers: beam profiles, polarization, integrated optics";
Vortrag: International Quantum Cascade Lasers School and Workshop,
Zürich, Schweiz (eingeladen);
16.01.2014
- 17.01.2014.
-
H. D. Wanzenböck:
"Surface patterning by electron beam induced depositions - A new approach for NIL ?";
Vortrag: NIL-Materials - Projectmeeting,
Linz;
10.01.2014.
-
S. Wagesreither, A. Lugstein, Y. Isono, E. Bertagnolli:
"Tuning the Tensile Strain in Silicon Nanowires by an Electrostatic Actuated MEMS Device";
Vortrag: MRS Fall Meeting,
Boston, USA;
01.12.2013
- 06.12.2013.
-
C. Hahn, K. Becker, S. Saghafi, M. Wanis, H. Dodt:
"Reduction of photobleaching and long term archiving of chemically cleared GFP-expressing mouse brains";
Poster: Neuroscience Vienna Network Meeting,
Wien;
21.11.2013.
-
M. Capriotti, A. Alexewicz, O. Bethge, D. Visalli, J. Derluyn, C. Fleury, E. Bertagnolli, D. Pogany, G. Strasser:
"Role of In-Situ Grown SiN Passivation for E-Mode AlGaN/GaN MOSHEMTS on silicon substrate for efficient power converters";
Poster: Italien Crystal Growth,
Parma, Italien;
14.11.2013
- 15.11.2013.
-
H. Detz, C. Deutsch, T. Zederbauer, D. MacFarland, M. Krall, M. Brandstetter, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Growth Optimization Using Symmetric Quantum Cascade Laser Active Regions";
Vortrag: North American Conf. on Molecular Beam Epitaxy (NAMBE),
Banff, Canada;
05.11.2013
- 11.11.2013.
-
S. Rotter, M. Liertzer, T. Hisch, M. Brandstetter, H.E. Tureci, C. Deutsch, P. Klang, D. Pogany, J. Schöberl, G. Strasser, K. Unterrainer:
"Controlling a Laser by Spatial Variation of the Pump Profile";
Vortrag: Ferdinand Braun Institute Colloquium,
Berlin (eingeladen);
18.10.2013.
-
A. Lugstein:
"Silicon and Germanium Nanowires as Building Blocks for Novel Devices";
Vortrag: Johannes Kepler Universität,
Linz (eingeladen);
14.10.2013.
-
S. Saghafi, K. Becker, C. Hahn, H. Dodt:
"Advances in Ultramicroscopy Imaging Technique";
Vortrag: International Multidisciplinary Microscopy Congress (InterM),
Antalya, Türkei;
10.10.2013
- 13.10.2013.
-
H. Dodt, K. Becker, C. Hahn, S. Saghafi:
"Imaging of cleared biological samples with the Ultramicroscope";
Vortrag: International Symposium FRONTIERS In Neurophotonics,
Domaine du Haut‐Carré, Talence, France;
01.10.2013
- 04.10.2013.
-
C. Fleury, R. Zhytnytska, S. Bychikhin, M. Cappriotti, O. Hilt, D. Visalli, G. Meneghesso, E. Zanoni, J. Würfl, J. Derluyn, G. Strasser, D. Pogany:
"Statistics and localisation of vertical breakdown in AlGaN/GaN HEMTs on SiC and Si substrates for power applications";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, France;
30.09.2013
- 04.10.2013.
-
G. Strasser:
"Monolithic Bi-functional Quantum Cascade Laser and Detector System for Sensing Applications";
Vortrag: CHInano (The Premier Nanotech Business Event in China),
Suzhou, China;
24.09.2013
- 27.09.2013.
-
M. Gavagnin, H. D. Wanzenböck, M. Shawrav, M. Stöger-Pollach, A. Steiger-Thirsfeld:
"Low momentum and high aspect ratio MFM probes fabricated by EBID";
Poster: International Conference Micro- and Nanoelectronics (ICMNE),
London, UK;
16.09.2013
- 19.09.2013.
-
M. Gavagnin, H. D. Wanzenböck, M. Stöger-Pollach, M. Shawrav, A. Steiger-Thirsfeld, E. Bertagnolli:
"Electron beam induced deposition of Fe-Co composites for nanomagnet logic technology";
Poster: International Conference Micro- and Nanoelectronics (ICMNE),
London, UK;
16.09.2013
- 19.09.2013.
-
D. Pogany, C. Fleury, S. Sultan, P. Ashburn, H. Chong, L.K.J. Vandamme:
"Low frequency noise and breakdown analysis of top-down fabricated ZnO nanowire transistors";
Vortrag: European Materials Research Society (EMRS),
Warschau, Polen;
16.09.2013
- 20.09.2013.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, E. Bertagnolli:
"Electron Beam Induced Curing of FEBID gold nanostructures";
Poster: International Conference Micro- and Nanoelectronics (ICMNE),
London, UK;
16.09.2013
- 19.09.2013.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, O. Bethge, E. Bertagnolli:
"Electron beam deposited noble metals for nanoelectronics applications";
Poster: International Conference Micro- and Nanoelectronics (ICMNE),
London, UK;
16.09.2013
- 19.09.2013.
-
P. Reininger, B. Schwarz, A. Wirthmüller, A. Harrer, O. Baumgartner, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, L. Hvozdara, H. Kosina, G. Strasser:
"Towards higher temperature operation of quantum cascade detectors";
Vortrag: ITQW,
New York, USA;
15.09.2013
- 20.09.2013.
-
B. Schwarz, P. Reininger, D. Ristanic, O. Baumgartner, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, H. Kosina, G. Strasser:
"On-Chip mid-infrared light generation and detection";
Vortrag: ITQW,
New York, USA (eingeladen);
15.09.2013
- 20.09.2013.
-
C. Schwarzer, R. Szedlak, S. Ahn, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Linearly Polarized Emission Beams from Vertically Emitting Quantum Cascade Ring Lasers";
Vortrag: ITQW,
New York, USA;
15.09.2013
- 20.09.2013.
-
A. Wirthmüller, B. Schwarz, P. Reininger, M. Rothermund, H. Oppermann, L. Hvozdara, G. Strasser, D. Hofstetter:
"The ICARUS sensing instrument for QCD-assisted human survivor detection in post-disaster areas";
Poster: ITQW,
New York, USA;
15.09.2013
- 20.09.2013.
-
T. Zederbauer, M. Brandstetter, M. Krall, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Facet Reflectivity and it“s Impact on Temperature Performance of THz Quantum Cascade Lasers with Double-Metal Waveguides";
Poster: ITQW,
New York, USA;
15.09.2013
- 20.09.2013.
-
D. MacFarland, C. Deutsch, H. Detz, M. Krall, M. Brandstetter, T. Zederbauer, A. M. Andrews, K. Unterrainer, G. Strasser:
"Effects of MBE Growth Asymmetries in Symmetric THz Quantum Cascade Lasers";
Vortrag: International Terahertz Conference,
Villach;
09.09.2013
- 10.09.2013.
-
S. Rotter, M. Liertzer, M. Brandstetter, T. Hisch, C. Deutsch, D. Pogany, F. Mintert, L. Ge, H.E. Tureci, A Cerjan, A.D. Stone, G. Strasser, K. Unterrainer:
"Pump-controlled exceptional points and random laser emission";
Vortrag: Advances in Quantum Chaotic Scattering: From (Non-)Linear Waves to Few-Body Systems,
Dresden (eingeladen);
09.09.2013
- 13.09.2013.
-
A. Lugstein, R. Langegger, M. Glaser, E. Bertagnolli:
"Advanced nanopattern Formation by a FIB induced subtractive self organization process";
Vortrag: Energy Materials Nanotechnology (EMN East Meeting),
Beijing, China;
07.09.2013
- 10.09.2013.
-
A. Alexewicz, M. Capriotti, O. Bethge, D. Visalli, J. Derluyn, D. Pogany, E. Bertagnolli, G. Strasser:
"Normally-off GaN MOSHEMTs with thin barrier on Si substrate";
Vortrag: ÖPG-Jahrestagung,
Linz;
03.09.2013
- 06.09.2013.
-
O. Bethge, C. Zimmermann, B. Lutzer, C. Henkel, E. Bertagnolli:
"Electrical and Physical Characterization of Interfacial Germanates in Ge-based MOS devices";
Vortrag: ÖPG-Jahrestagung,
Linz;
03.09.2013
- 06.09.2013.
-
B. Lutzer, O. Bethge, C. Zimmermann, E. Bertagnolli:
"Ohmic contacts for resistance measurements of ultra-thin metal-on-silicon layers";
Vortrag: ÖPG-Jahrestagung,
Linz;
03.09.2013
- 06.09.2013.
-
P. Mai, M. Sassermann, Z. Vörös, G. Weihs, A. M. Andrews, H. Detz, G. Strasser, K. Winkler, C. Schneider, S. Höfling, M. Kampel, A. Forchel:
"Parametric polariton scattering in coupled planar microcavities";
Poster: Annual Meeting of the Austrian and Swiss Physics Society,
Linz;
03.09.2013
- 06.09.2013.
-
A. Harrer, R. Gansch, P. Reininger, B. Schwarz, H. Detz, A. M. Andrews, T. Zederbauer, D. MacFarland, W. Schrenk, G. Strasser:
"Tuning of resonances in photonic crystal photodetectors";
Poster: Joint Annual Meeting of ÖPG, SPG, ÖGAA und SGAA 2013,
Linz;
02.09.2013
- 06.09.2013.
-
M. Meneghini, G Cibin, M. Bertin, S. Carraro, S. Marconi, M. Marioli, M. la Grassa, M. Ferretti, S. Bychikhin, D. Pogany, G. Strasser, E. Zanoni, G. Meneghesso:
"Comparison of breakdown characteristics of AlGaN/GaN HEMTs in voltage and current controlled mode: electrical and optical characterization";
Vortrag: Topical workshop on heterostructure microelectronics (TWHM),
Hakodate, Japan;
02.09.2013
- 05.09.2013.
-
G. Strasser, B. Schwarz, P. Reininger, O. Baumgartner, W. Schrenk, T. Zederbauer, H. Detz, A. M. Andrews, H. Kosina:
"Bi-functional Quantum Cascade Laser/Detectors for Integrated Photonics";
Vortrag: ÖPG-Jahrestagung,
Linz (eingeladen);
02.09.2013
- 06.09.2013.
-
R. Szedlak, C. Schwarzer, A. Genner, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Grating-Design based Far Field Modifications of Ring Cavity Quantum Cascade Lasers";
Vortrag: Joint Annual Meeting of ÖPG, SPG, ÖGAA und SGAA 2013,
Linz;
02.09.2013
- 06.09.2013.
-
A. M. Andrews, M. Nobile, C. Deutsch, H. Detz, T. Zederbauer, D. MacFarland, W. Schrenk, K. Unterrainer, G. Strasser:
"IngaAs/GaAsSb Material System for Quantum Cascade Lasers";
Vortrag: 3rd International Nanophotonics Meeting 2013,
Salzburg (eingeladen);
01.09.2013
- 03.09.2013.
-
M. Brandstetter, C. Deutsch, M. Krall, H. Detz, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High performance THz QCLs with wafer bonded active regions";
Vortrag: 3rd International Nanophotonics Meeting 2013,
Salzburg;
01.09.2013
- 03.09.2013.
-
A. Harrer, B. Schwarz, P. Reininger, R. Gansch, T. Zederbauer, A. M. Andrews, S. Kalchmair, W. Schrenk, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"Intersubband Detectors";
Vortrag: 3rd International Nanophotonics Meeting 2013,
Salzburg;
01.09.2013
- 03.09.2013.
-
R. Szedlak, C. Schwarzer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Cascade Lasers with Grating Modications";
Vortrag: 3rd International Nanophotonics Meeting 2013,
Salzburg;
01.09.2013
- 03.09.2013.
-
A. Lugstein:
"Controlling group IV nanowire synthesis for monolithic device integration";
Vortrag: Life Science Symposium (EPFL-LSS),
Lausanne, Schweiz (eingeladen);
28.08.2013
- 30.08.2013.
-
S. Rotter, P. Ambichl, M. Liertzer, T. Hisch, F. Libisch, D. Pogany, F. Mintert:
"Complex scattering and lasing photonic micro-structures";
Vortrag: Seminar talk, Brunel University,
London (eingeladen);
06.07.2013.
-
B. Schwarz, P. Reininger, O. Baumgartner, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, H. Kosina, G. Strasser:
"Towards Mid-Infrared On-Chip Sensing utilizing a bi-functional Quantum Cascade Laser/Detector";
Vortrag: Conference on Electronic Properties of Two-Dimensional Systems / Modulated Semiconductor Structures (EP2Ds-MSS),
Wroclaw, Polen;
01.07.2013
- 05.07.2013.
-
J. Smoliner:
"Conductive AFM Methods for Semiconductor Characterization";
Vortrag: Tagung der Festkörperanalytik,
Chemnitz, Deutschland (eingeladen);
01.07.2013
- 03.07.2013.
-
J. Smoliner:
"Scanning Capacitance Microscopy: Historic and Latest Developements";
Vortrag: International Workshop of Nanomeasure,
Warschau, Polen (eingeladen);
24.06.2013
- 25.06.2013.
-
S. Rotter, P. Ambichl, M. Liertzer, T. Hisch, J. Doppler, F. Libisch, D. Pogany:
"Taming waves in theory and experiment";
Vortrag: Physikalisches Kolloquium, Universität Graz / Technische Universität Graz,
Graz, Österreich (eingeladen);
19.06.2013.
-
A. Lugstein:
"Silicon and Germanium Nanowires as Building Blocks for Novel Devices";
Vortrag: Montain Universität Leoben,
Leoben (eingeladen);
18.06.2013.
-
S. Rotter, M. Liertzer, T. Hisch, D. Pogany, F. Mintert, L. Ge, A.D. Stone, H.E. Tureci, A Cerjan:
"Controlling a laser by spatial variation of the pump profile";
Vortrag: Theorieseminar, Uni Duisburg-Essen,
Duisburg (eingeladen);
12.06.2013.
-
A. Lugstein:
"Si and Ge nanowires as building blocks for novel devices";
Vortrag: GMe Forum 2013,
Vienna (eingeladen);
06.06.2013
- 07.06.2013.
-
S. Rotter, M. Liertzer, T. Hisch, D. Pogany, F. Mintert, L. Ge, A.D. Stone, H.E. Tureci, A Cerjan:
"Controlling a laser by spatial variation of the pump profile";
Vortrag: GMe Workshop 2013,
Wien (eingeladen);
06.06.2013
- 07.06.2013.
-
S. Lindsey, G. Hobler:
"Simulation of Glancing Angle Sputtering with a Density Gradient Model to Represent Surface Roughness";
Vortrag: Particle-surface interactions: from surface analysis to materials processing (PASI),
Luxemburg;
03.06.2013
- 05.06.2013.
-
M. Gavagnin, D. Belic, M. Shawrav, E. Bertagnolli:
"Magnetic Force Microscopy Study of Shape-Engineered EBID Iron Nanostructures";
Poster: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
27.05.2013
- 31.05.2013.
-
M. Gavagnin, H. D. Wanzenböck, D. Belic, M. Shawrav, M. Stöger-Pollach, A. Steiger-Thirsfeld, E. Bertagnolli:
"Electron Beam Induced Deposition of Iron Nanomagnets as basic elements for Magnetic Sensors and NanoMagnet Logic devices";
Vortrag: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
27.05.2013
- 31.05.2013.
-
J.M. Greil, C. Zeiner, L. Geelhaar, C. Somaschini, G. Strasser, E. Bertagnolli:
"Tuning the electro-optical properties of Si, Ge and GaAs nanowires with strain";
Vortrag: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
27.05.2013
- 31.05.2013.
-
S. Lindsey, S. Waid, G. Hobler, H. D. Wanzenböck, E. Bertagnolli:
"Inverse Modeling of FIB Milling by Dose Profile Optimization";
Poster: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
27.05.2013
- 31.05.2013.
-
A. Lugstein, R. Langegger, M. Glaser, E. Bertagnolli:
"Advanced nanopattern formation by a subtractive self organization process with Focused Ion Beams";
Vortrag: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
27.05.2013
- 31.05.2013.
-
M. Shawrav, D. Belic, M. Gavagnin, O. Bethge, H. D. Wanzenböck, E. Bertagnolli:
"2D and 3D Noble Metal Nanostructures - FEBID Processing and Applications. E-MRS Spring Meeting";
Vortrag: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
27.05.2013
- 31.05.2013.
-
H. D. Wanzenböck, M. Shawrav, D. Belic, M. Gavagnin, O. Bethge, E. Bertagnolli:
"Investigation on Electronic Properties of Semiconductor Nanowires using Focused Electron Beam Induced Etching (FEBIE) with Chlorine";
Poster: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
27.05.2013
- 31.05.2013.
-
C. Zeiner, A. Lugstein, P. Rödiger, M. Mijic, M. den Hertog, A. Prager, S. Defranceschi, E. Bertagnolli:
"Surface doping of Germanium Nanowires";
Vortrag: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
27.05.2013
- 31.05.2013.
-
S. Rotter, M. Liertzer, T. Hisch, D. Pogany, F. Mintert, M. Brandstetter, K. Unterrainer:
"Pump-Controlled Exceptional Points and Random Laser Emission";
Vortrag: Batsheva de Rothschild Seminar (Israel Science Foundation workshop),
Ein Gedi, Israel (eingeladen);
21.05.2013
- 26.05.2013.
-
L. Einkemmer, P. Mai, S. Mathias, Z. Vörös, G. Weihs, A. M. Andrews, H. Detz, G. Strasser, K. Winkler, A. Forchel, C. Schneider, S. Höfling, M. Kampel:
"Parametric polariton scattering in quantum wires and coupled planar microcavities";
Poster: CLEO Europe 2013,
Munich;
12.05.2013
- 16.05.2013.
-
B. Schwarz, P. Reininger, W. Schrenk, H. Detz, O. Baumgartner, T. Zederbauer, A. M. Andrews, H. Kosina, G. Strasser:
"Monolithically integrated quantum cascade laser and detector";
Vortrag: CLEO Europe 2013,
München, Deutschland;
12.05.2013
- 16.05.2013.
-
R. Szedlak, C. Schwarzer, A. Genner, L. Burgstaller, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Polarization Versatility of Ring Cavity Quantum Cascade Lasers";
Vortrag: CLEO Europe 2013,
Munich;
12.05.2013
- 16.05.2013.
-
O. Bethge, C. Zimmermann, C. Henkel, E. Bertagnolli:
"ALD grown germanates for effective passivation of the Ge surface";
Vortrag: European Materials Research Society (EMRS),
Nizza, Frankreich;
10.05.2013
- 12.05.2013.
-
M. Gavagnin, H. D. Wanzenböck, D. Belic, M. Shawrav, E. Bertagnolli:
"Electron beam induced deposition of iron-based nanowires for nanomagnet logic application";
Vortrag: Austrian Society for Electron Microscopy Workshop,
Vienna;
25.04.2013
- 26.04.2013.
-
A. Lugstein:
"Silicon and Germanium Nanowires as Building Blocks for Novel Devices";
Vortrag: Techn.Univ.Dresden,
Dresden, Deutschland (eingeladen);
25.04.2013.
-
S. Rotter, M. Liertzer, T. Hisch, L. Ge, A Cerjan, A.D. Stone, H.E. Tureci, F. Mintert, D. Pogany:
"Pump-controlled exceptional points and random laser emission";
Vortrag: Seminarvortrag am Atominstitut,
Wien (eingeladen);
12.04.2013.
-
M. Liertzer, T. Hisch, S. Esterhazy, F. Mintert, D. Pogany, J. Melenk, S. Rotter:
"New solution strategies for the steady-state ab-initio laser theory and applications to random lasers";
Vortrag: MASOMO 2013,
Berlin (eingeladen);
10.04.2013
- 12.04.2013.
-
G. Strasser:
"Semiconductor Research in Austria: Optoelectronics and Photonics";
Vortrag: Nano and Photonics,
Mauterndorf (eingeladen);
20.03.2013
- 22.03.2013.
-
N. Jährling:
"Ultramicroscopy (UM) in neurobiology";
Vortrag: International Conference on Non-Invasive Brain Stimulation,
Leipzig, Deutschland;
19.03.2013
- 21.03.2013.
-
R. Röder, S. Geburt, A. Johannes, M. Glaser, A. Lugstein, C. Ronning:
"Tailoring CdS nanowire lasing resonators";
Poster: Frühjahrstagung der DPG,
Regensburg, Deutschland;
10.03.2013
- 15.03.2013.
-
S. Rotter, M. Liertzer, T. Hisch, L. Ge, A Cerjan, A.D. Stone, H.E. Tureci, D. Pogany, F. Mintert:
"Pump-controlled exceptional points and random laser emission";
Vortrag: Institutssseminar Institut Langevin,
Paris (eingeladen);
05.03.2013.
-
G. Strasser:
"Materials Engineering of Intraband Devices";
Vortrag: Institute for Nanotechnology, University of Waterloo,
Waterloo, Canada;
18.02.2013.
-
A. Alexewicz:
"Gate oxides for AlGaN/GaN MOSHEMTs";
Vortrag: WOCSEMMAD,
New Orleans, USA;
17.02.2013
- 20.02.2013.
-
G. Strasser:
"Materials and Photonics Engineering of Intersubband Devices";
Vortrag: Electrical Engineering Department, University of Buffalo,
Buffalo, USA;
15.02.2013.
-
M. Brandstetter, C. Deutsch, A. Benz, K. Unterrainer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Stacked active region THz quantum cascade lasers with improved performance";
Vortrag: SPIE Photonics West 2013,
San Francisco;
02.02.2013
- 07.02.2013.
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Towards nanowire-based terahertz quantum cascade lasers: prospects and technological challenges";
Vortrag: SPIE Photonics West 2013,
San Francisco;
02.02.2013
- 07.02.2013.
-
B. Schwarz, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, O. Baumgartner, H. Kosina, G. Strasser:
"Same-Frequency Detector and Laser Utilizing Bi-Functional Quantum Cascade Active Regions";
Vortrag: SPIE Photonics West,
San Francisco, CA, USA;
02.02.2013
- 07.02.2013.
-
D. Pogany:
"Physics and reliability of GaN-base HFETs";
Vortrag: Intel Mobile Telecommunications,
München, Deutschland (eingeladen);
31.01.2013.
-
M. Gavagnin, H. D. Wanzenböck, D. Belic, E. Bertagnolli:
"Iron Nanomagnets Arrays deposited by FEBID as Novel Nanofabrication Approach for Nanomagnet Logic Technology";
Vortrag: ENHANCE Winter School,
Eindhoven, Niederlande;
14.01.2013
- 17.01.2013.
-
M. Shawrav, D. Belic, M. Gavagnin, O. Bethge, H. D. Wanzenböck, E. Bertagnolli:
"Electronic Applications of Focused Electron Beam Induced Deposited Noble Metals";
Poster: ENHANCE Winter School,
Eindhoven, Niederlande;
14.01.2013
- 17.01.2013.
-
S. Waid, M. Mühlberger, H. D. Wanzenböck, E. Bertagnolli:
"The Impact of Focused Ion Beam Stamp Repair on Resist Curing in UV-based Nanoimprint Lithography";
Poster: International Conference on Nanoimprint and Nanoprint Technology,
Napa, California, USA;
24.10.2012
- 26.10.2012.
-
P. Marko, A. Alexewicz, M. Meneghini, G. Meneghesso, E. Zanoni, O. Hilt, J. Würfl, G. Strasser, D. Pogany:
"Pre-breakdown current fluctuations and RTS noise in reverse-bias-stressed AlGaN/GaN HEMTs";
Vortrag: International Workshop on Nitride Semiconductors 2012 (INW),
Sapporo, Japan;
14.10.2012
- 19.10.2012.
-
G. Meneghesso, M. Meneghini, A. Zanandrea, F. Rampazzo, A. Stocco, M. Bertin, D. Pogany, E. Zanoni:
"Evidence for breakdown luminescence in AlGaN/GaN HEMTs";
Vortrag: International Workshop on Nitride Semiconductors 2012 (INW),
Sapporo, Japan;
14.10.2012
- 19.10.2012.
-
P. Marko, M. Meneghini, S. Bychikhin, D. Marcon, G. Meneghesso, E. Zanoni, D. Pogany:
"IV, noise and electroluminescence analysis of stress-induced percolation paths in AlGaN/GaN high electron mobility transistors";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Cagliari, Italy;
01.10.2012
- 05.10.2012.
-
C. Ostermaier, P. Lagger, M. Alomari, P. Herfurth, D. Maier, A. Alexewicz, M. di Forte Poisson, S. Delage, G. Strasser, D. Pogany, E. Kohn:
"Reliability Investigation of the Degradation of the Surface Passivation of InAlN/GaN HEMTs using a Dual Gate Structure";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Cagliari, Italy;
01.10.2012
- 05.10.2012.
-
A. Lugstein, J.M. Greil, C. Zeiner, J. Stangl, M. Keplinger, R. Grifone, D. Kriegner, C. Somaschini, L. Geelhaar, E. Bertagnolli:
"Tuning the electro-optical properties of nanowires by applying uniaxial and ultra-high strain";
Vortrag: Solid State Devices and Materials Conference (SSDM),
Kyoto, Japan;
25.09.2012
- 27.09.2012.
-
L. Burgstaller, C. Schwarzer, S. Ahn, W. Schrenk, W. Charles, C. Gmachl, G. Strasser:
"Substrate emitting ring cavity quantum cascade lasers";
Vortrag: ÖPG-Jahrestagung,
Graz;
18.09.2012
- 21.09.2012.
-
M. Capriotti, A. Alexewicz, A. Parlar, A. Brunner, A. M. Andrews, D. Pogany, G. Strasser:
"Comparison of MOS and Schottky-barrier structure in AlGaN/GaN HEMTs";
Vortrag: ÖPG-Jahrestagung,
Graz;
18.09.2012
- 21.09.2012.
-
P. Reininger, S. Kalchmair, R. Gansch, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Enhancing the detectivity of photonic crystal photodetectors by quality factor tuning";
Vortrag: ÖPG-Jahrestagung,
Graz;
18.09.2012
- 21.09.2012.
-
M. Sassermann, L. Einkemmer, P. Mai, Z. Vörös, G. Weihs, T. Zederbauer, H. Detz, A. M. Andrews, G. Strasser, C. Schneider, A. Löffler, S. Höfling, A. Forchel, S. Protolan:
"Toward polarisation entangled photon pairs from microcavity exciton polaritons";
Poster: ÖPG-Jahrestagung,
Graz;
18.09.2012
- 21.09.2012.
-
B. Schwarz, P. Reininger, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"Optimization of intersubband devices for dual-color emission, absorption and detection";
Vortrag: ÖPG-Jahrestagung,
Graz;
18.09.2012
- 21.09.2012.
-
S. Waid, J. Mika, S. Lindsey, H. D. Wanzenböck, G. Hobler, E. Bertagnolli:
"Fabrication of 3D Axon Isolation Channels by Inverse Modelling Assisted Focused Ion Beam Patterning";
Poster: Micro- and Nano-Engineering Conference,
Toulouse, France;
16.09.2012
- 20.09.2012.
-
S. Waid, M. Mühlberger, H. D. Wanzenböck, E. Bertagnolli:
"Impact of Focused Ion Beam (FIB) Stamp Repair on UV Nanoimprint Lithography (NIL) Resist Curing";
Poster: Micro- and Nano-Engineering Conference,
Toulouse, France;
16.09.2012
- 20.09.2012.
-
N. Jährling, K. Becker, S. Saghafi, H. Dodt:
"3D Imaging of vascular networks in mouse brains using ultramicroscopy (UM)";
Poster: 54th Symposium of the Society for Histochemistry,
wien;
05.09.2012
- 08.09.2012.
-
A. M. Andrews, M. Nobile, C. Deutsch, H. Detz, T. Zederbauer, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb Material System for Quantum Cascade Lasers";
Vortrag: MIOMD-XI Infrared Optoelectronics: Materials and Devices,
Chicago, USA (eingeladen);
04.09.2012
- 08.09.2012.
-
S. Ahn, C. Schwarzer, S. Kalchmair, R. Gansch, D. Ristanic, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"The Influence of a Tilted Facet on Quantum Cascade Lasers";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
02.09.2012
- 06.09.2012.
-
M. Brandstetter, H. Moser, A. Genner, B. Lendl, G. Strasser:
"Time-resolved characterization of the spectral behavior of ring cavity surface emitting QCLs (RCSE-QCLs)";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
02.09.2012
- 06.09.2012.
-
R. Gansch, S. Kalchmair, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Impedance matched resonant cavities for quantum well infrared photodetectors";
Vortrag: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
02.09.2012
- 06.09.2012.
-
P. Reininger, B. Schwarz, S. Kalchmair, R. Gansch, O. Baumgartner, Z. Stanojevic, H. Kosina, W. Schrenk, G. Strasser:
"Simulation of a dual wavelength quantum cascade laser in a photonic crystal cavity";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
02.09.2012
- 06.09.2012.
-
B. Schwarz, P. Reininger, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"Dual-color quantum cascade structure for coherent emission and detection";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
02.09.2012
- 06.09.2012.
-
S. Waid, H. D. Wanzenböck, M. Mühlberger, E. Bertagnolli:
"Impact of Ga contamination on UV-NIL stamp repair";
Poster: International Conference on Ion Beam Modification of Materials (IBMM),
Jinan, China;
02.09.2012
- 07.09.2012.
-
T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Manipulating Interface Quality in MBE grown THz QCLs by Predictive Temperature Control";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
02.09.2012
- 06.09.2012.
-
A. Alexewicz, P. Marko, M. Alomari, H. Behmenburg, C. Giesen, M. Heuken, D. Pogany, E. Kohn, G. Strasser:
"InAlGaN/AlN GaN-HEMTs with In-Situ SiN Passivation";
Poster: International Conference on Physics of Semiconductor (ICPS),
Zürich, schweiz;
29.07.2012
- 03.08.2012.
-
R. Gansch, S. Kalchmair, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Resonant cavity enhanced quantum well infrared photodetectors showing improved temperature performance";
Poster: International Conference on Physics of Semiconductor (ICPS),
Zürich, Schweiz;
29.07.2012
- 03.08.2012.
-
P. Reininger, B. Schwarz, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"Simulation of Dual Wavelength Photonic Crystal Quantum Cascade Laser";
Poster: International Conference on Physics of Semiconductor (ICPS),
Zürich, Schweiz;
29.07.2012
- 03.08.2012.
-
B. Schwarz, P. Reininger, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"A mid-infrared dual wavelenght quantum cascade structure designed for both emission and detection";
Poster: International Conference on Physics of Semiconductor (ICPS),
Zürich, Schweiz;
29.07.2012
- 03.08.2012.
-
C. Schwarzer:
"Grating Controlled Enhancement of Substrate Emission from Ring Cavity Quantum Cascade Lasers";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Zürich, Schweiz;
29.07.2012
- 03.08.2012.
-
G. Strasser, C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, T. Kubis, W. Schrenk, K. Unterrainer:
"InGaAs/GaAsSb: a new material system for THz QCLs";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Zürich, Schweiz (eingeladen);
29.07.2012
- 03.08.2012.
-
C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, T. Kubis, W. Schrenk, G. Strasser, K. Unterrainer:
"Enhanced performance of terahertz quantum cascade lasers in reverse operating direction";
Vortrag: Int. Conf. on Superlattices, Nanostructures and Nanodevices (ICSNN),
Dresden, Deutschland;
22.07.2012
- 27.07.2012.
-
P. Reininger, R. Gansch, S. Kalchmair, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Detectivity Improvement of Quantum Well Infrared Photodetectors using a Photonic Crystal Slab as Resonant Cavity";
Poster: International Conference on Superlattices, Nanostructures, and Nanodevices (ICSNN2012),
Dresden;
22.07.2012
- 27.07.2012.
-
J. Silvano de Sousa, H. Detz, A. M. Andrews, G. Strasser, J. Smoliner:
"Electrical tuning and cancellation of the conduction band spin splitting in GaAs quantum Wells";
Vortrag: International Conference on Superlattices, Nanostructures and Nanodevices,
Dresden, Deutschland;
22.07.2012
- 27.07.2012.
-
Y. Todorov, L. Tosetto, A. M. Andrews, R. Colombelli, G. Strasser, C. Sirtori:
"Multi-subband THz Polaritons";
Vortrag: Int. Conf. on Superlattices, Nanostructures and Nanodevices (ICSNN),
Dresden, Deutschland (eingeladen);
22.07.2012
- 27.07.2012.
-
S. Valdueza-Felip, L. Monteagudo-Lerma, F. Naranjo, P. Corredera, L. Rapenne, E. Sarigiannidou, G. Strasser, E. Monroy, M. Gonzalez-Herraez:
"Optical saturation of intraband absorption of GaN/AlN quantum-dot waveguides at 1.55 μm";
Poster: International Symposium on Growth of III-Nitrides,
St.Petersburg, Russia;
16.07.2012
- 19.07.2012.
-
J. Smoliner:
"Recent Developments in Characterization of Electrical Properties by Means of SPM";
Vortrag: 2012 European Conference on Electrical Measurements at the Nanoscale Using AFM,
Linz (eingeladen);
04.07.2012.
-
J.M. Greil, A. Lugstein, C. Zeiner, J. Stangl, M. Keplinger, R. Grifone, D. Kriegner, L. Geelhaar, C. Somaschini, G. Strasser, E. Bertagnolli:
"Tuning the electro-optical properties of Si, Ge and GaAs nanowires with strain";
Vortrag: International Conference of Young Researchers on Advanced Materials (ICYRAM),
Singapur;
01.07.2012
- 06.07.2012.
-
S. Ahn, C. Schwarzer, S. Kalchmair, R. Gansch, D. Ristanic, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"The Mode Reflectivity Reduction of Quantum Cascade Lasers by a Tilted Front Facet";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
26.06.2012
- 27.06.2012.
-
L. Burgstaller, C. Schwarzer, S. Ahn, W. Schrenk, G. Strasser:
"Optimizations for broadband emitting ring cavity quantum cascade lasers arrays";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
26.06.2012
- 27.06.2012.
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Micropillar array quantum cascade terahertz emitters";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
26.06.2012
- 27.06.2012.
-
P. Reininger, S. Kalchmair, R. Gansch, E. Mujagic, S. Ahn, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Improving the detectivity of quantum well infrared photodetectors with photonic crystal slabs";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
26.06.2012
- 27.06.2012.
-
B. Schwarz, P. Reininger, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"Dual wavelength quantum cascade structure that can act both as laser and detector";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
26.06.2012
- 27.06.2012.
-
T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Improvement of the Interface Quality in MBE grown semiconductor hetero-structures by Predictive Temperature Control";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
26.06.2012
- 27.06.2012.
-
G. Hobler:
"Molecular dynamics study of atom ejection from an eroding (100)-Si surface";
Vortrag: International Conference on Simulation of Radiation Effects in Solids,
Santa Fe, New Mexico, USA;
24.06.2012
- 29.06.2012.
-
S. Lindsey, G. Hobler:
"Sputtering of Silicon at Glancing Incidence";
Vortrag: International Conference on Simulation of Radiation Effects in Solids,
Santa Fe, New Mexico, USA;
24.06.2012
- 29.06.2012.
-
N. Jährling, S. Saghafi, A. Ertürk, C. Mauch, C. Auer, K. Becker, H. Dodt:
"Ultramicroscopy: 3D-reconstructions of mouse spinal cords";
Poster: Jahreskongress der Deutschen Gesellschaft für Neurotraumatologie und Klinische Neurorehabilitation e.V.,
Köln, Deutschland;
21.06.2012
- 23.06.2012.
-
M. Gavagnin, H. D. Wanzenböck, E. Bertagnolli:
"Electron Beam Induced Deposition of Iron Nanomagnets as basic elements for Magnetic Sensors and NanoMagnet Logic devices";
Vortrag: Focused Electron Beam Induced Processing Workshop (FEBIP),
Zaragoza, Spanien;
20.06.2012
- 21.06.2012.
-
M. Gavagnin, H. D. Wanzenböck, K. Gunnarson, P. Svedlindh, E. Bertagnolli:
"Magnetic switching behaviour of high-purity iron nanowires deposited by FEBID";
Poster: Focused Electron Beam Induced Processing Workshop (FEBIP),
Zaragoza, Spanien;
20.06.2012
- 21.06.2012.
-
K. Winkler, A. Lugstein, M. Hetzel, C. Zeiner, J.M. Greil, A. Steiger-Thirsfeld, E. Bertagnolli:
"Epitaxial growth and characterization of lattice mismatched Si nanowire - GaAs hetrostructures";
Vortrag: International Conference on Electronic Materials,
State Collage, PA, USA;
20.06.2012
- 22.06.2012.
-
C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, A. Benz, G. Strasser, K. Unterrainer:
"Operating direction of terahertz quantum cascade lasers";
Vortrag: OSA Topical Meetings: Optical Terahertz Science and Technology,
Prag;
17.06.2012
- 20.06.2012.
-
N. Jährling, C. Auer, G. Tabatabai, C. Hahn, S. Saghafi, K. Becker, H. Dodt:
"Ultramicroscopy (UM): 3D reconstructions of vascular networks in mice using lectin-staining";
Poster: 8th YSA-PhD-Symposium,
Wien;
13.06.2012
- 14.06.2012.
-
A. Urich, M. M. Furchi, A. Pospischil, G. Lilley, K. Unterrainer, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, T. Müller:
"Graphene microcavity photodetector";
Poster: Graphene Week,
Delft, Niederlande;
04.06.2012
- 08.06.2012.
-
S. Kalchmair, R. Gansch, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Focusing of Light with a Plasmonic Lens onto Mid-Infrared Quantum Well Photodetector";
Vortrag: 10th International Symposium on Photonic and Electromagnetic Crystal Structures (PECS-X),
Santa Fe, New Mexico, USA;
03.06.2012
- 08.06.2012.
-
M. Gavagnin, H. D. Wanzenböck, S. Waid, E. Bertagnolli:
"Focused Electron Beam Induced Deposition as novel nanofabrication approach for magnetic nanosensors and nanomagnet logic";
Vortrag: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Waikoloa, Hawaii;
28.05.2012
- 31.05.2012.
-
M. Gavagnin, H. D. Wanzenböck, S. Waid, E. Bertagnolli:
"Magnetic reversal of iron nanowires deposited by Focused Electron Beam Induced Deposition for nanomagnet logic application";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Waikoloa, Hawaii;
28.05.2012
- 31.05.2012.
-
H. D. Wanzenböck, And. Amon, J. Mika, E. Bertagnolli:
"Axon-Isolation Device fabricated by Nanoimprintlithography";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Waikoloa, Hawaii;
28.05.2012
- 31.05.2012.
-
H. D. Wanzenböck, B. Ismail, P. Rödiger, J.M. Greil, M. Hetzel, A. Lugstein, E. Bertagnolli:
"Engineering electrical properties of Silicon nanowires by focused electron beam induced processing with Chlorine";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Waikoloa, Hawaii;
28.05.2012
- 31.05.2012.
-
H. D. Wanzenböck, S. Waid, E. Bertagnolli:
"Fabrication of 3-dimensional Nanoimprint Stamps A comparison of 4 approaches using FIB";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Waikoloa, Hawaii;
28.05.2012
- 31.05.2012.
-
S. Rossi, M. Alomari, E. Kohn, E. Zhang, J. Weaver, S. Bychikhin, D. Pogany, J. Carlin, N. Grandjean:
"Thermal Analysis of NCD Heat-Spreading Films on InAlN/GaN HEMTs";
Vortrag: New Diamond and Nano Carbons Conference (NDNC),
San Juan, Puerto Rico;
20.05.2012
- 24.05.2012.
-
A. Lugstein, W. Molnar, P. Pongratz, M. Seyring, M. Rettenmayr, C. Borschel, C. Ronning, N. Auner, C. Bauch, E. Bertagnolli:
"Shape control method for prismatic Si-NWs by subeutectic VLS growth using cubic α-NiSi2 as catalyst";
Vortrag: European Materials Research Society (EMRS),
Strassburg, Frankreich;
15.05.2012
- 17.05.2012.
-
S. Kalchmair, R. Gansch, E. Mujagic, S. Ahn, P. Reininger, G. Lasser, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Increased Detectivity and Operation Temperature in Photonic Crystal Slab Quantum Well Photodetectors";
Vortrag: CLEO 2012,
San Jose, California, USA;
06.05.2012
- 11.05.2012.
-
E. Strupiechonski, G. Xu, N. Isac, A. M. Andrews, Y. Todorov, C. Sirtori, G. Strasser, A. Degiron, R. Colombelli:
"" Sub-diffraction-limit resonators operating on the fundamental monopolar resonance: application to THz polaritons";
Vortrag: CLEO 2012,
San Jose, California, USA;
06.05.2012
- 11.05.2012.
-
A. Alexewicz, C. Ostermaier, C. Henkel, O. Bethge, J. Carlin, M. Gonschorek, N. Grandjean, D. Pogany, E. Bertagnolli, G. Strasser:
"E-Mode InAlN/AlN-GaN MOS-HEMTs on Si Substrates";
Vortrag: Microelectronics Conference,
Wien;
23.04.2012
- 24.04.2012.
-
S. Ahn, A. M. Andrews, W. Schrenk, G. Strasser:
"Facet Reflectivity Reduction of Quantum Cascade Lasers by Angled Facets";
Vortrag: SPIE Photonics Europe 2012,
Brüssel;
16.04.2012
- 19.04.2012.
-
S. Kalchmair, R. Gansch, P. Reininger, S. Ahn, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Resonant detectivity enhancement of quantum well infrared photodetectors by photonic crystal slabs";
Vortrag: SPIE Conference,
Brüssel, Belgien;
16.04.2012
- 19.04.2012.
-
A. M. Andrews, M. Nobile, C. Deutsch, H. Detz, T. Zederbauer, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb Quantum Cascade Lasers";
Vortrag: Nanophotonics and Electronics Meeting,
Paris, Frankreich (eingeladen);
02.04.2012
- 03.04.2012.
-
J.M. Greil, A. Lugstein, C. Zeiner, J. Stangl, M. Keplinger, R. Grifone, D. Kriegner, L. Geelhaar, C. Somaschini, G. Strasser, E. Bertagnolli:
"Tuning the electro-optical properties of nanowires with strain";
Vortrag: Nanophotonics and Electronics Meeting,
Paris, Frankreich;
02.04.2012.
-
S. Ahn, S. Kalchmair, C. Schwarzer, R. Gansch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Facet Reflectivity Reduction of Quantum Cascade Lasers by Tilted Facets";
Poster: GMe Forum 2012,
Wien;
29.03.2012
- 30.03.2012.
-
A. Alexewicz, P. Marko, M. Alomari, H. Behmenburg, C. Giesen, M. Heuken, D. Pogany, E. Kohn, G. Strasser:
"InAlGaN/AlN GaN-HEMTs with In-Situ SiN Passivation";
Poster: GMe Forum 2012,
Wien;
29.03.2012
- 30.03.2012.
-
A. M. Andrews, M. Nobile, C. Deutsch, H. Detz, T. Zederbauer, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb Quantum Cascade Lasers";
Vortrag: GMe Forum 2012,
Wien (eingeladen);
29.03.2012
- 30.03.2012.
-
O. Bethge, C. Henkel, S. Abermann, H. Hutter, J. Smoliner, E. Bertagnolli:
""Impact of the ALD process on the inversion capacitance in Ge based MOS capacitors";
Poster: GMe Forum 2012,
Wien;
29.03.2012
- 30.03.2012.
-
M. Brandstetter, M. Janits, C. Deutsch, M. Martl, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz quantum cascade lasers with coupled microdisk cavities";
Poster: GMe Forum 2012,
Wien;
29.03.2012
- 30.03.2012.
-
H. Detz, T. Zederbauer, P. Klang, A. M. Andrews, M. Nobile, M. Schuster, C. Deutsch, M. Brandstetter, W. Schrenk, K. Unterrainer, G. Strasser:
"Improved InGaAs/GaAsSb MBE Growth of Quantum Cascade Lasers";
Poster: GMe Forum 2012,
Wien;
29.03.2012
- 30.03.2012.
-
M. M. Furchi, A. Urich, A. Pospischil, G. Lilley, K. Unterrainer, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, T. Müller:
"Cavity Enhanced Graphene Photodetector";
Poster: GMe Forum 2012,
Wien;
29.03.2012
- 30.03.2012.
-
S. Kalchmair, R. Gansch, P. Reininger, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Photonic Crystal Slabs for Resonant Photodetection in Quantum Wells";
Poster: GMe Forum 2012,
Wien;
29.03.2012
- 30.03.2012.
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, K. Unterrainer, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"In0.53Ga0.47As/GaAs0.51Sb0.49 Semiconductor Nanowires: Fabrication and Electrical Characterization";
Poster: GMe Forum 2012,
Wien;
29.03.2012
- 30.03.2012.
-
M. Martl, C. Deutsch, M. Krall, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, J. Darmo:
"Analysis of THz Quantum Cascade Laser Gain Properties Using a Coupled Cavity";
Poster: GMe Forum 2012,
Wien;
29.03.2012
- 30.03.2012.
-
A. Alexewicz, P. Marko, M. Alomari, H. Behmenburg, C. Giesen, M. Heuken, D. Pogany, E. Kohn, G. Strasser:
"Performance Enhancement of InAlGaN/AlN GaN-HEMTs by using In-Situ SiN Passivation";
Poster: 76. Jahrestagung der DPG und DPG-Frühjahrstagung,
Berlin, Deutschland;
25.03.2012
- 30.03.2012.
-
A. Avdic, A. Lausch, A. Lugstein, E. Bertagnolli:
"New generation micro vacuum gauge for ultra high vacuum measurements using modified AFM tips";
Vortrag: DPG-Frühjahrstagung 2012 (Spring Meeting of the Condensed Matter Section),
Berlin, Deutschland;
25.03.2012
- 30.03.2012.
-
M. Glaser, R. Langegger, A. Lugstein, E. Bertagnolli:
"Near-infrared Photoluminescence of Nanostructured Graphite";
Vortrag: DPG-Frühjahrstagung 2012 (Spring Meeting of the Condensed Matter Section),
Berlin, Deutschland;
25.03.2012
- 30.03.2012.
-
R. Langegger, A. Lugstein, E. Bertagnolli:
"Focused ion beam induced damage in germanium and synthesis of free-standing germanium nano-webs";
Vortrag: DPG-Frühjahrstagung 2012 (Spring Meeting of the Condensed Matter Section),
Berlin, Deutschland;
25.03.2012
- 30.03.2012.
-
S. Wagesreither, A. Lugstein, E. Bertagnolli:
"Ultra Fast and Anisotropic Diffusion of Lithium in Silicon Nanostructures";
Vortrag: DPG-Frühjahrstagung 2012 (Spring Meeting of the Condensed Matter Section),
Berlin, Deutschland;
25.03.2012
- 30.03.2012.
-
C. Zeiner, A. Lugstein, T. Burchhart, P. Pongratz, J. Connell, L. Lauhon, E. Bertagnolli:
"Tuning the Electronic Properties of Germanium Nanowires by Room Temperature Focused Ion Beam Implantation";
Vortrag: DPG Tagung,
Berlin, Deutschland;
25.03.2012
- 30.03.2012.
-
J.M. Greil, A. Lugstein, C. Zeiner, J. Stangl, M. Keplinger, R. Grifone, D. Kriegner, L. Geelhaar, C. Somaschini, G. Strasser, E. Bertagnolli:
"Tuning the electro-optical properties of nanowires with strain";
Vortrag: IRON Workshop,
Linz;
23.03.2012.
-
A. Amon, J. Mika, H. D. Wanzenböck, E. Bertagnolli:
"A novel platform for neural electrical activity measurements of neurites";
Poster: International Congress BioNanoMed,
Krems;
01.03.2012
- 02.03.2012.
-
A. M. Andrews, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, W. Schrenk, K. Unterrainer, G. Strasser:
"Terahertz Quantum Cascade Lasers";
Vortrag: WOCSEMMAD,
Napa, CA, USA (eingeladen);
19.02.2012
- 22.02.2012.
-
A. M. Andrews, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, W. Schrenk, K. Unterrainer, G. Strasser:
"THz Quantum Cascade Lasers";
Vortrag: WOCSEMMAD,
Napa Valley, USA (eingeladen);
19.02.2012
- 22.02.2012.
-
S. Ahn, A. M. Andrews, W. Schrenk, G. Strasser:
"The Mode Reflectivity Reduction of Quantum Cascade Lasers by a Tilted Front Facet";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
12.02.2012
- 17.02.2012.
-
S. Kalchmair, R. Gansch, P. Reininger, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"High Detectivity and Enhanced Operation Temperature of Photonic Crystal Slab QWIPs";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
12.02.2012
- 17.02.2012.
-
J. Silvano de Sousa, H. Detz, G. Strasser, E. Gornik, J. Smoliner:
"Rashba effect in type-II resonant tunneling diodes enhanced by in-plane magnetic fields";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
12.02.2012
- 17.02.2012.
-
G. Strasser:
"Materials and Photonics Engineering of Intraband Lasers";
Vortrag: Physics and Astronomy colloquium,
Norman, USA (eingeladen);
02.02.2012.
-
C. Deutsch, H. Detz, A. Benz, A. M. Andrews, P. Klang, W. Schrenk, K. Unterrainer, G. Strasser:
"Terahertz quantum cascade lasers based on symmetric InGaAs/GaAsSb active regions";
Vortrag: SPIE Photonics West 2012,
San Franciso, California, USA;
21.01.2012
- 26.01.2012.
-
R. Gansch, S. Kalchmair, P. Reininger, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Responsivity enhancement of QWIPs by photonic crystal slabs";
Vortrag: Photonics West,
San Francisco, USA;
21.01.2012
- 26.01.2012.
-
M. Martl, J. Darmo, D. Dietze, A. Benz, C. Deutsch, H. Detz, A. M. Andrews, G. Strasser, K. Unterrainer:
"THz time domain spectroscopy of quantum cascade lasers";
Vortrag: SPIE Photonics West 2012,
San Franciso, California, USA (eingeladen);
21.01.2012
- 26.01.2012.
-
M. Gavagnin, H. D. Wanzenböck, E. Bertagnolli:
"Focused Electron Beam Induced Deposition as novel nanofabrication approach for ferromagnetic material";
Vortrag: ENHANCE Winter School,
Helsinki, Finland;
09.01.2012
- 12.01.2012.
-
H. D. Wanzenböck, J. Popovic, C. Peter:
"Bioimpedance measurement of Caco-2 chips - a new fluid system for medium supply";
Vortrag: University Vienna, Institute for Pharmaceutical Technology and Biopharmaceutics,
Wien;
14.12.2011.
-
G. Strasser:
"InGaAs/GaAsSb: A new material system for THz QCLs";
Vortrag: Walter Schottky Institut TU-München,
München, Deutschland (eingeladen);
30.11.2011.
-
W. Molnar, A. Lugstein, P. Pongratz, M. Seyring, M. Rettenmayr, C. Borschel, N. Auner, C. Bauch, E. Bertagnolli:
"Shape Control Method for Prismatic Si-NWs by Subeutectic VLS Growth Using Cubic alpha-NiSi2 as Catalyst.";
Vortrag: MRS Fall Meeting,
Boston, MA, USA;
28.11.2011
- 02.12.2011.
-
J. Rhayem, L. Van der Voorde, A. Wieers, M. Heer, D. Pogany:
"Wear out analysis in vertical DMOS under repetitive short circuit testing";
Poster: European Failure Analysis Network,
Toulouse, France;
28.11.2011
- 29.11.2011.
-
J. Bird, J. Chen, J. Song, Lin Y., G. Aizin, G. Strasser, N. Aoki, Y. Ochiai:
"Nanoscale Terahertz Rectifiers";
Vortrag: TeraNano 2011 & GDR-I THz 2011,
Osaka, Japan (eingeladen);
24.11.2011
- 29.11.2011.
-
S. Kalchmair, R. Gansch, P. Reininger, S. Schartner, A. M. Andrews, H. Detz, P. Klang, T. Zederbauer, W. Schrenk, G. Strasser:
"Resonant photonic detection";
Vortrag: Nano Photonics Meeting,
Wien (eingeladen);
10.11.2011
- 11.11.2011.
-
H. D. Wanzenböck:
"FIB-deposition of SiO2 for NIL-hardmasks";
Vortrag: NILaustria meeting,
Linz;
27.10.2011.
-
H. D. Wanzenböck:
"Nanostructured Catalyst Arrays";
Vortrag: TU Wien,
Wien;
27.10.2011.
-
G. Strasser:
"Materials Engineering at the ZMNS: from Nanostructures to Optoelectronic Devices";
Vortrag: EP - Russian Open Days,
Wien (eingeladen);
24.10.2011
- 25.10.2011.
-
N. Jährling, K. Becker, A. Ertürk, C. Mauch, F. Bradke, H. Dodt:
"Three dimensional imaging of the unsectioned adult spinal cord by ultramicroscopy";
Vortrag: Light Sheet microscopy workshop,
Toulouse, France;
13.10.2011
- 14.10.2011.
-
S. Kalchmair, R. Gansch, G. Cole, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Slabs for Resonant Photodetection in Quantum Wells";
Poster: Metamaterials,
Barcelona, Spanien;
10.10.2011
- 15.10.2011.
-
T. Zederbauer, H. Detz, C. Deutsch, W. Schrenk, K. Unterrainer, G. Strasser:
"Wachstum von InGaAs/GaAsSb Heterostrukturen für Quantenkaskadenlaser im THz Bereich";
Vortrag: Deutscher MBE-Workshop 2011,
Berlin, Deutschland;
05.10.2011
- 06.10.2011.
-
J.M. Greil, A. Lugstein, M. Steinmair, A. Steiger-Thirsfeld, E. Bertagnolli:
"Raman and electronic characterization of ultra-strained Si/Ge nanowires";
Vortrag: Paul Scherrer Institut,
Villigen, Schweiz (eingeladen);
04.10.2011.
-
H. Köck, C. Djelassi, S. de Filippis, M. Nelhiebel, M. Ladurner, M. Glavanovics, D. Pogany:
"Improved thermal management of low voltage power devices with optimized bond wire positions";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bordeaux, France;
03.10.2011
- 07.10.2011.
-
D. Pogany, S. Bychikhin, M. Heer, W. Mamanee, E. Gornik:
"Application of transient interferometric mapping method for ESD and latch-up analysis";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bordeaux, France (eingeladen);
03.10.2011
- 07.10.2011.
-
N. Jährling, S. Saghafi, K. Becker, H. Dodt:
"Optical characterisation of standard ultramicroscopy system";
Poster: EOS European Optical Society Annual Meeting,
Capri, Italien;
26.09.2011
- 28.09.2011.
-
R. Penjweini, K. Kratky, H. Dodt, S. Saghafi:
"Characterizizing the effects of ceoherent laser beams and noncoherent LED beams on annihaliation of bread and fungus";
Poster: EOS European Optical Society Annual Meeting,
Capri, Italien;
26.09.2011
- 28.09.2011.
-
S. Saghafi, N. Jährling, K. Becker, H. Dodt:
"Effects of Gaussian- and Flattened- Gaussian beam in scanning light sheet Ultramicroscopy employing Meso-optical elements";
Vortrag: EOS European Optical Society Annual Meeting,
Capri, Italien;
26.09.2011
- 28.09.2011.
-
S. Waid, H. D. Wanzenböck, M. Mühlberger, E. Bertagnolli:
"Method for 3D Nanoimprint Lithography Stamp Fabrication";
Poster: International Conference on Micro- and Nano-Engineering,
Berlin, Deutschland;
19.09.2011
- 23.09.2011.
-
H. D. Wanzenböck, J.K. Mika, And. Amon, E. Bertagnolli, K. Schwarz, S. Huck:
"Microelectronic measurement platform for neural activity";
Poster: Annual Meeting of the Austrian Neuroscience Association,
Alpbach;
19.09.2011
- 21.09.2011.
-
B. Lendl, M. Brandstetter, A. Genner, G. Ramer, Engelene Chrysostom, E. Mujagic, G. Strasser:
"Optical analytical measurement techniques based on quantum cascade laser";
Vortrag: FLAIR 2011,
Murnau (eingeladen);
13.09.2011
- 17.09.2011.
Zusätzliche Informationen
-
R. Meisels, R. Brunner, O. Glushko, S. Kalchmair, R. Gansch, G. Strasser:
"Using photonic crystal slabs to optimize quantum-well photo-dectectors";
Vortrag: International School and Conference on Photonics,
Belgrade, Serbia;
29.08.2011
- 02.09.2011.
-
G. Strasser, C. Deutsch, H. Detz, A. Benz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, K. Unterrainer:
"InGaAs/GaAsSb: a new material system for terahertz quantum cascade lasers";
Vortrag: Optics + Photonics 2011,
San Diego, California, USA;
21.08.2011
- 25.08.2011.
-
H. Detz, C. Deutsch, M. Schuster, M. Nobile, P. Klang, T. Zederbauer, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Interface Analysis in Symmetric InGaAs/GaAsSb THz Quantum Cascade Lasers";
Vortrag: North American Conf. on Molecular Beam Epitaxy (NAMBE),
San Diego, USA;
14.08.2011
- 17.08.2011.
-
R. Chen, Lin Y., J. Song, D. Eason, G. Strasser, J. Bird:
"Memristor Functionality of Strongly-Depleted Semiconductor Nanoconstrictions";
Vortrag: EDISON 17,
Santa Barbara, USA;
07.08.2011
- 12.08.2011.
-
J. Silvano de Sousa, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser, J. Smoliner:
"Large Spin and Non-parabolicity Effects in InGaAs/GaAsSb Resonant Tunneling Diodes";
Vortrag: EDISON 17,
Santa Barbara, USA;
07.08.2011
- 12.08.2011.
-
J. Silvano de Sousa, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser, J. Smoliner:
"Large Spin and Non-parabolicity Effects in InGaAs/GaAsSb Resonant Tunneling Diodes";
Vortrag: International Conference on Narrow Gap Semiconductor,
Blacksburg, USA;
01.08.2011
- 05.08.2011.
-
C. Schwarzer, E. Mujagic, T. Zederbauer, H. Detz, W. Schrenk, Y. Yao, C. Gmachl, G. Strasser:
"Ring cavity surface emitting quantum cascade lasers and their two-dimensional integration";
Vortrag: EP2DS19/MSS15 2011,
Tallahassee, USA;
25.07.2011
- 29.07.2011.
-
J. Silvano de Sousa, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser, J. Smoliner:
"Large Spin and Non-parabolicity Effects n InGaAs/GaAsSb Resonant Tunneling Diodes";
Poster: EP2DS19/MSS15 2011,
Tallahassee, USA;
25.07.2011
- 29.07.2011.
-
A. Stier, C. Ellis, H. Zhang, D. Eason, G. Strasser, B. McCombe, J. Cerne:
"Measurement of the THz optical Hall conductivity in a two dimensional electron gas";
Poster: EP2DS19/MSS15 2011,
Tallahassee, USA;
25.07.2011
- 29.07.2011.
-
H. Dodt:
"Visualization of neuronal networks in the mouse brain and mouse embryos by ultramicroscopy";
Vortrag: IBRO World Congress of Neuroscience,
Florence, Italy;
14.07.2011
- 18.07.2011.
-
K. Cico, M. Jurkovic, D. Gregusova, J. Kuzmik, A. Alexewicz, M. di Forte Poisson, D. Pogany, G. Strasser, S. Delage, K. Fröhlich:
"Impact of forming gas annealing on electrical characteristics of InAlN/GaN high electron mobility transistor with Al2O3 gate insulation and passivation";
Poster: Conference of Nitride Semiconductors (ICNS),
Glasgow, UK;
10.07.2011
- 15.07.2011.
-
J. Kuzmik, C. Ostermaier, A. Alexewicz, J. Carlin, N. Grandjean, C. Dua, S. Delage, G. Strasser, D. Pogany, E. Gornik:
"Study of electrical performance and degradation of double-heterostructure InAlN/AlN/GaN/AlGaN/GaN transitors";
Poster: Conference of Nitride Semiconductors (ICNS),
Glasgow, UK;
10.07.2011
- 15.07.2011.
-
J. Silvano de Sousa, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser, J. Smoliner:
"Large Rashba Effect in InGaAs/GaAsSb RTDs at high Temperatures";
Vortrag: International Symposium on Physics of Semiconductors and Applications,
JeJu, Korea;
05.07.2011
- 08.07.2011.
-
A. Steiger-Thirsfeld, B. Basnar, C. Tomastik, A. Lugstein:
"Carbonaceous contamination on low dose ion irradiated surfaces";
Vortrag: 6st "D-A-C-H" Workshop on FIB & FIB/SEM,
ETH Hönggerberg, Zürich; Schweiz;
27.06.2011
- 29.06.2011.
-
A. Lugstein, J.M. Greil, M. Steinmair, A. Steiger-Thirsfeld, E. Bertagnolli:
"Why putting strain on nanowires";
Vortrag: International Conference on Materials for Advanced Technologies (ICMAT),
Suntec, Singapore;
26.06.2011
- 01.07.2011.
-
A. Lugstein, R. Langegger, M. Glaser, E. Bertagnolli:
"Focused ion beam induced synthesis of free-standing graphite nanosheets";
Vortrag: International Conference on Materials for Advanced Technologies (ICMAT),
Suntec, Singapore;
26.06.2011
- 01.07.2011.
-
R. Gansch, S. Kalchmair, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Resonantly enhanced absorption in photonic crystal slab quantum well infrared photodetectors";
Vortrag: Institut für Physik, Montanuniversität Leoben,
Leoben (eingeladen);
21.06.2011.
-
R. Amsüss, C. Koller, T. Nöbauer, S. Putz, M. Schramböck, S. Rotter, H.-J. Schmiedmayer, J. Majer:
"Hybrid Quantum System: Coupling Color Centers to Superconducting Cavities";
Vortrag: ÖPG/SPS Tagung,
Lausanne, Switzerland;
16.06.2011.
-
J.M. Greil, A. Lugstein, T. Burchhart, M. Mijic, C. Zeiner, M. Steinmair, A. Steiger-Thirsfeld, E. Bertagnolli:
"Raman microscopy and electronic characterization of ultra-strained Si/Ge nanowires";
Vortrag: ÖPG-Jahrestagung,
Lausanne, Schweiz;
16.06.2011.
-
S. Ahn, E. Mujagic, M. Schinnerl, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Reduction of Facet Reflectivity for Quantum Cascade Lasers with Angled Facets";
Vortrag: Annual Meeting of the Austrian and Swiss Physics Society,
Lausanne, Schweiz;
15.06.2011
- 17.06.2011.
-
A. Alexewicz, C. Ostermaier, C. Henkel, O. Bethge, J. Carlin, M. Gonschorek, N. Grandjean, D. Pogany, E. Bertagnolli, G. Strasser:
"Dependence of the threshold voltage on oxide interlayer Thickness in E-mode InAlN/AlN GaN-MOS-HEMTs on Si substrate";
Vortrag: ÖPG-Jahrestagung,
Lausanne, Schweiz;
15.06.2011
- 17.06.2011.
-
M. Brandstetter, A. Benz, C. Deutsch, K. Unterrainer, P. Klang, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser:
"Terahertz quantum cascade lasers with superconducting waveguides";
Vortrag: Gemeinsame Jahrestagung von SPG, ÖPG, SGAA und ÖGAA in Lausanne,
Lausanne;
15.06.2011
- 17.06.2011.
-
C. Deutsch, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, A. Benz, K. Unterrainer:
"InGaAs/GaAsSb: a promising material system for terahertz quantum cascade lasers";
Vortrag: Gemeinsame Jahrestagung von SPG, ÖPG, SGAA und ÖGAA in Lausanne,
Lausanne;
15.06.2011
- 17.06.2011.
-
R. Gansch, S. Kalchmair, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Slab Quantum Well Infrared Photodetector";
Vortrag: ÖPG-Jahrestagung,
Lausanne, Schweiz;
15.06.2011
- 17.06.2011.
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. Benz, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer:
"Optical properties of THz quantum cascade laser with subwavelength metallic waveguide";
Vortrag: Gemeinsame Jahrestagung von SPG, ÖPG, SGAA und ÖGAA in Lausanne,
Lausanne;
15.06.2011
- 17.06.2011.
-
A. Pfnier, M. Coquelin, J. Silvano de Sousa, P. Klang, G. Strasser, E. Gornik:
"Intersubband Plasmons in InGaAs Quantum Wells";
Poster: Annual Meeting of the Austrian and Swiss Physics Society,
Lausanne, Schweiz;
15.06.2011
- 17.06.2011.
-
C. Schwarzer, E. Mujagic, W. Schrenk, Y. Yao, C. Gmachl, G. Strasser:
"Broadband single-mode emission from two-dimensional ring cavity surface emitting quantum cascade laser arrays";
Vortrag: ÖPG-Jahrestagung,
Lausanne, Schweiz;
15.06.2011
- 17.06.2011.
-
T. Zederbauer, A. M. Andrews, H. Detz, P. Klang, M. Nobile, W. Schrenk, G. Strasser:
"Growth of the InGaAs/GaAsSb Material System for MIR Quantum Cascade Lasers";
Vortrag: ÖPG-Jahrestagung,
Lausanne, Schweiz;
15.06.2011
- 17.06.2011.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"Etching of Germanium by Chlorine Gas using a Focused Electron Beam";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
31.05.2011
- 03.06.2011.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, A. Lugstein, E. Bertagnolli:
"Local, Direct-Write, Damage-Free Thinning of Germanium Nanowires";
Vortrag: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
31.05.2011
- 01.06.2011.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, S. Waid, E. Bertagnolli:
"Removal of FIB-Induced Amorphization and Gallium Contamination by Focused-Electron-Beam-Induced-Etching";
Vortrag: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas;
31.05.2011
- 01.06.2011.
-
S. Waid, H. D. Wanzenböck, E. Bertagnolli:
"Direct Hard Mask Patterning by Focused Ion Beam";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
31.05.2011
- 03.06.2011.
-
S. Waid, H. D. Wanzenböck, E. Bertagnolli, M. Mühlberger:
"3D Nano Patterning using Local Ga Implantation and Subsequent RIE Etch";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
31.05.2011
- 03.06.2011.
-
H. D. Wanzenböck, P. Rödiger, S. Waid, G. Hochleitner, E. Bertagnolli:
"Focused Beam Induced Etching - Making the Right Choice Between Ions and Electrons";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
31.05.2011
- 03.06.2011.
-
A. Lugstein, R. Langegger, M. Glaser, E. Bertagnolli:
"Focused ion beam induced synthesis of free-standing graphite nanosheets";
Vortrag: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
29.05.2011
- 01.06.2011.
-
H. Detz, M. Nobile, C. Deutsch, P. Klang, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb material system for optoelectronic intersubband devices";
Vortrag: International Symposium on Compound Semiconductors (ISCS),
Berlin, Deutschland;
22.05.2011
- 26.05.2011.
-
P. Rödiger, H. D. Wanzenböck:
"Hydrocarbonaceous Contamination in SEMs: Evaluation and Removal";
Vortrag: TU Graz,
Graz (eingeladen);
20.05.2011.
-
H. Dodt, N. Jährling, S. Saghafi, S. Kalchmair, K. Becker:
"Visualization of Neuronal networks in the mouse brain and mouse embryos by ultramicroscopy";
Vortrag: Graduate School Meeting,
Münster, Deutschland;
11.05.2011
- 13.05.2011.
-
O. Bethge, S. Abermann, C. Henkel, M. Stöger-Pollach, J. Smoliner, E. Bertagnolli:
"ALD grown La2O3 for Ge-based MOS-device applications";
Vortrag: E-MRS Spring Meeting,
Nizza, Frankreich;
09.05.2011
- 13.05.2011.
-
S. Lindsey, G. Hobler:
"The Role/Relevance/Significance/Implications of Redeposition and Backscattering in Focused Ion Beam Milling/Nanostructure Formation by Focused Ion Beams";
Poster: E-MRS Spring Meeting,
Nice, Frankreich;
09.05.2011
- 13.05.2011.
-
J.M. Greil, A. Lugstein, T. Burchhart, M. Mijic, C. Zeiner, M. Steinmair, A. Steiger-Thirsfeld, E. Bertagnolli:
"Raman microscopy and electronic characterization of ultra-strained Si/Ge nanowires";
Vortrag: MRS Spring Meeting,
San Francisco, CA, USA;
25.04.2011
- 29.04.2011.
-
V. Mitin, R. Ramaswamy, K. Wang, A. Muraviev, G. Strasser, A.G. Markelz, M. Shur, R. Gaska, A. Sergeev:
"THz Heterodyne Sensing with AlInN/GaN Hot-Electron Microbolometers using Quantum Cascade Lasers";
Vortrag: SPIE Defense, Security and Sensing,
Orlando, USA (eingeladen);
25.04.2011
- 29.04.2011.
-
R. Gansch, S. Kalchmair, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal resonances in Quantum Well Infrared Photodetectors";
Vortrag: Seminar Princeton University,
Princeton, USA;
19.04.2011.
-
A. Alexewicz, C. Ostermaier, G. Pozzovivo, W. Schrenk, M. Schmid, L. Toth, B. Pecz, J. Carlin, M. Gonschorek, N. Grandjean, J. Kuzmik, D. Pogany, G. Strasser:
"Microstructural and Electrical Analyses of Oxygen Diffusion into Iridium Metal Gates";
Poster: GMe Forum 2011,
Vienna, Austria;
14.04.2011
- 15.04.2011.
-
S. Kalchmair, R. Gansch, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Slab Quantum Well Photodetectors";
Poster: GMe Forum 2011,
Vienna, Austria;
14.04.2011
- 15.04.2011.
-
A. Pfnier, M. Coquelin, A. M. Andrews, P. Klang, H. Detz, P. Bakshi, G. Strasser, E. Gornik:
"Intersubband Plasmons in InGaAs Quantum Wells";
Poster: GMe Forum 2011,
Vienna, Austria;
14.04.2011
- 15.04.2011.
-
C. Schwarzer:
"Coupling Strategies for Coherent Operation of Ring Cavity Surface Emitting Intersubband Lasers";
Poster: GMe Forum 2011,
Vienna, Austria;
14.04.2011
- 15.04.2011.
-
R. Gansch, S. Kalchmair, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Slab Quantum Well Infrared Photodetector";
Poster: Einsteins in the City 2011,
New York, USA;
13.04.2011
- 15.04.2011.
-
S. Waid, H. D. Wanzenböck:
"FIB-implantation for Ga hardmask generation - a new approach for NIL-stamp fabrication";
Vortrag: NILaustria meeting,
Steyr;
31.03.2011.
-
H. D. Wanzenböck:
"Gas injectionsystem for Multibeam tools";
Vortrag: NILaustria meeting,
Steyr;
31.03.2011.
-
E. Gornik, M. Coquelin, A. Pfnier, A. M. Andrews, P. Klang, H. Detz, C. Deutsch, P. Bakshi, K. Unterrainer, G. Strasser:
"THz Quantum Well Sources";
Vortrag: GDRI-CNRS workshop: Semiconductor Sources and Detectors of THz Radiation,
Tignes, France;
29.03.2011
- 01.04.2011.
-
M. Martl, C. Deutsch, M. Brandstetter, A. Benz, H. Detz, P. Klang, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"THz quantum cascade laser research in Vienna: Recent progress";
Vortrag: GDRI-CNRS workshop: Semiconductor Sources and Detectors of THz Radiation,
Tignes, Frankreich;
29.03.2011
- 01.04.2011.
-
A. M. Andrews, H. Detz, P. Klang, C. Deutsch, M. Nobile, W. Schrenk, K. Unterrainer, G. Strasser:
"MBE growth of InGaAs/GaAsSb based mid-infrared and THz quantum cascade lasers";
Vortrag: European Molecular Beam Epitaxy Workshop,
Alpe d“Huez, France;
20.03.2011
- 23.03.2011.
-
H. Detz, A. M. Andrews, P. Klang, C. Deutsch, M. Nobile, W. Schrenk, K. Unterrainer, G. Strasser:
"MBE growth of InGaAs/GaAsSb based mid-infrared and THz quantum cascade lasers";
Vortrag: EURO-MBE Workshop,
L“Alpe d“Huez, France;
20.03.2011
- 23.03.2011.
-
G. Strasser, C. Schwarzer, E. Mujagic:
"Surface emitting Quantum Cascade Lasers";
Vortrag: 4th European Conference on Applications of Femtosecond Lasers in Materials Science - FemtoMat,
Mauterndorf (eingeladen);
14.03.2011
- 18.03.2011.
-
R. Ramaswamy, A. Muraviev, K. Wang, C. Deutsch, J. Choi, D. Eason, G. Strasser, M. Shur, A. Sergeev, V. Mitin:
"Tunable Quantum Cascade Lasers for THz mixers";
Poster: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
13.03.2011
- 17.03.2011.
-
M. Wagner, D. Stehr, H. Schneider, S. Winnerl, A. M. Andrews, S. Schartner, G. Strasser, M. Helm:
"AC Stark effect of the intraexciton 1s-2p quantum well transition";
Vortrag: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
13.03.2011
- 17.03.2011.
-
G. Strasser:
"InGaAs/GaAsSb infrared devices";
Vortrag: IR-ON Symposium,
Wien (eingeladen);
10.03.2011.
-
H. D. Wanzenböck, L. Schneider, J.K. Mika:
"Custom-engineered Microelectrode Array for electrical investigation of neuronal cell cultures";
Vortrag: University of Vienna, Center for Brain Research,
Wien;
03.03.2011.
-
S. Kalchmair, S. Ahn, R. Gansch, E. Mujagic, C. Schwarzer, M. Nobile, C. Ostermaier, M. Schramböck, S. Schartner, A. M. Andrews, H. Detz, P. Klang, W. Schrenk, G. Strasser:
"Tailored Mid-infrared Optoelectronics: Design, Processing and Systems";
Vortrag: 17th Sem. on Electron and Ion Beam Nanofabrication for Applications in Nanotechnology,
Dortmund, Deutschland (eingeladen);
21.02.2011
- 22.02.2011.
-
P. Rödiger, H. D. Wanzenböck:
"Contamination avoidance - the key to focused electron beam induced etching";
Vortrag: ENHANCE Winter School,
Bochum, Deutschland;
26.01.2011.
-
H. D. Wanzenböck:
"Focused electron beam induced processing";
Vortrag: ENHANCE Winter School,
Bochum, Deutschland (eingeladen);
26.01.2011.
-
E. Mujagic, C. Schwarzer, W. Schrenk, G. Strasser, Y. Yao, J. Chen, C. Gmachl:
"Two-dimensional surface emitting single mode quantum cascade laser arrays";
Vortrag: Photonics West,
San Francisco, USA;
22.01.2011
- 27.01.2011.
-
S. Ahn, E. Mujagic, M. Nobile, C. Schwarzer, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Beam Steering of Y-coupled Quantum Cascade Lasers";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
19.01.2011
- 20.01.2011.
-
A. Benz, C. Deutsch, M. Brandstetter, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser, K. Unterrainer:
"Tuning effects of active photonic crystal quantum-cascade lasers";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
19.01.2011
- 20.01.2011.
-
H. Detz, M. Nobile, P. Klang, A. M. Andrews, C. Deutsch, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb MBE Growth and Quantum Cascade Lasers";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
19.01.2011
- 20.01.2011.
-
R. Gansch, S. Kalchmair, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Experimental verification of the revised plane wave expansion method for photonic crystal slabs";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
19.01.2011
- 20.01.2011.
-
G. Milovanovic, O. Baumgartner, M. Nobile, H. Detz, A. M. Andrews, G. Strasser, H. Kosina:
"Monte Carlo Simulation of an Al-free Quantum Cascade Laser";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
19.01.2011
- 20.01.2011.
-
E. Mujagic, C. Schwarzer, W. Schrenk, Y. Yao, W. Charles, J. Chen, C. Gmachl, G. Strasser:
"Broadband surface emitting quantum cascade laser arrays";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
19.01.2011
- 20.01.2011.
-
C. Schwarzer, E. Mujagic, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Coupled ring cavity quantum cascade lasers";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
19.01.2011
- 20.01.2011.
-
J. Silvano de Sousa, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser, J. Smoliner:
"Large Spin and Non-parabolicity Effects In InGaAs/GaAsSb Resonant Tunneling Diodes";
Vortrag: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
19.01.2011
- 20.01.2011.
-
K. Aretouli, J. Kuzmik, D. Pogany, A. Adikimenakis, T. Kostopoulos, G. Konstantinidis, A. Georgakilas:
"Pulsed I-V performance of AlN/GaN HEMTs";
Poster: 4th Int. Conf. on Micro-Nanoelectronics, Nanotechnologies & MEMs,
Athen, Greece;
12.12.2010
- 15.12.2010.
-
B. Basnar:
"Influential Surfaces";
Vortrag: Seminar am Institut für Materialchemie,
Wien;
01.12.2010.
-
A. Avdic, A. Lugstein, M. Wu, B. Gollas, I. Pobelov, T. Wandlowski, E. Bertagnolli:
"Microfabrication of the combined AFM-SECM Sensors utilizing Focused Ion Beam and isotropic Inductively Coupled Plasma-Reactive Ion Etching";
Vortrag: MRS Fall Meeting,
Boston, USA;
29.11.2010
- 03.12.2010.
-
R. Langegger, A. Lugstein, E. Bertagnolli:
"Focused Ion Beam Induced Damage in Highly Ordered Pyrolytic Graphite and Synthesis of Free-standing Graphite Nanosheets";
Vortrag: MRS Fall Meeting,
Boston, USA;
29.11.2010
- 03.12.2010.
-
A. Lugstein, M. Steinmair, A. Steiger-Thirsfeld, H. Kosina, E. Bertagnolli:
"Tuning the Electronic Properties of Ultra-strained Silicon Nanowires";
Vortrag: MRS Fall Meeting,
Boston, USA;
29.11.2010
- 03.12.2010.
-
C. Zeiner, T. Burchhart, A. Lugstein, P. Pongratz, E. Bertagnolli:
"Tuning the Electronic Properties of Germanium Nanowires by Room Temperature Focused Ion Beam Implantation";
Vortrag: MRS Fall Meeting,
Boston, USA;
29.11.2010
- 03.12.2010.
-
H. Dodt, N. Jährling, C. Schönbauer, F. Schnorrer, K. Becker:
"Ultramicroscopy: 3D-reconstruction of Drosophila“s inner anatomy";
Poster: Neuroscience 2010,
San Diego;
13.11.2010
- 17.11.2010.
-
U. Leischner, A. Schierloh, W. Zieglgansberger, H. Dodt:
"Improved imaging of neurons in the non-stained, formalin-fixed brain";
Poster: Neuroscience 2010,
San Diego;
13.11.2010
- 17.11.2010.
-
K. Aretouli, J. Kuzmik, D. Pogany, A. Adikimenakis, A. Kostopoulos, G. Konstantinidis, A. Georgakilas:
"Current instabilities in AlN/GaN HEMTs";
Poster: European Workshop on Heterostructure Technology,
Fodele, Greece;
19.10.2010
- 20.10.2010.
-
S. Bychikhin, G. Haberfehlner, J. Rhayem, D. Vanderstraeten, R. Gillon, D. Pogany:
""Investigation of smart power DMOS devices under repetitive stress conditions using transient thermal mapping and numerical simulation";
Poster: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Italy;
11.10.2010
- 15.10.2010.
-
A. Podgaynaya, R. Rudolf, B. Elattari, D. Pogany, E. Gornik, M. Stecher:
"Single pulse energy capability and failure modes of n- and p- channel LDMOS with thick copper metallization";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Italy;
11.10.2010
- 15.10.2010.
-
A. Lugstein, M. Steinmair, A. Steiger-Thirsfeld, T. Burchhart, E. Bertagnolli:
"Integrating nanowires in building blocks for characterization and device applications";
Vortrag: Nanowire Workshop,
Heraklion, Greece (eingeladen);
27.09.2010
- 01.10.2010.
-
S. Kalchmair, H. Detz, G. Cole, A. M. Andrews, M. Nobile, P. Klang, W. Schrenk, G. Strasser:
"Quantum well photodetector in a free-standing photonic crystal slab";
Vortrag: International Conference Micro- and Nanoelectronics (ICMNE),
Genda, Italien;
19.09.2010
- 22.09.2010.
-
J. Kuzmik, S. Bychikhin, E. Pichonat, C. Gaquière, G. Tsiakatouras, G. Deligeorgis, A. Georgakilas, R. Balmer, D. Pogany:
"Thermal Characterization of MBE-grown GaN/AlGaN/GaN on Single Crystalline Diamond";
Vortrag: International Workshop on Nitride Semiconductors 2010,
Tampa, Florida, USA;
19.09.2010
- 24.09.2010.
-
O. Bethge, S. Abermann, C. Henkel, C. Straif, H. Hutter, J. Smoliner, E. Bertagnolli:
"ALD of high-k oxides on (100) Ge-substrates: Scaling ability and interface engineering for MOS-device applications";
Vortrag: Baltic ALD 2010 & GerALD2,
Hamburg,Deutschland;
16.09.2010
- 17.09.2010.
-
C. Henkel, O. Bethge, S. Abermann, S. Puchner, H. Hutter, M. Reiche, E. Bertagnolli:
"Atomic Layer Deposition of high-k dielectrics on GOI Substrates";
Poster: Baltic ALD 2010 & GerALD2,
Hamburg,Deutschland;
16.09.2010
- 17.09.2010.
-
H. Detz, E. Mujagic, C. Schwarzer, T. Zederbauer, P. Klang, A. M. Andrews, W. Schrenk, C. Deutsch, K. Unterrainer, G. Strasser:
"Ring Cavity Induced Performance Enhancement in Mid-Infrared and Terahertz Quantum Cascade Lasers";
Vortrag: 60th Annual Meeting Austrian Physical Society,
Salzburg;
06.09.2010
- 10.09.2010.
-
S. Saghafi, K. Becker, N. Jährling, H. Dodt:
"New light sheet generator, enhancing image quality in Ultramicroscopy";
Vortrag: Light Sheet based Flourescence Microscopy Workshop,
Dublin;
02.09.2010
- 04.09.2010.
-
S. Ahn, M. Nobile, E. Mujagic, C. Schwarzer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Phase-induced Beam steering of Y-coupled quantum cascade lasers";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
30.08.2010
- 03.09.2010.
-
A. M. Andrews, M. Nobile, C. Deutsch, P. Klang, H. Detz, A. Benz, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb/InP Material System for MIR and THz Quantum Cascade Lasers";
Vortrag: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien (eingeladen);
30.08.2010
- 03.09.2010.
-
F. Carosella, R. Ferreira, G. Strasser, K. Unterrainer, G. Bastard:
"Intersubband magneto-optical transitions in multiple QW structures with disordered thin barriers";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
30.08.2010
- 03.09.2010.
-
E. Mujagic, C. Schwarzer, M. Nobile, H. Detz, W. Schrenk, Y. Yao, W. Charles, C. Gmachl, G. Strasser:
"Quantum Cascade Laser Arrays based on Surface Emitting Ring Cavities";
Vortrag: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
30.08.2010
- 03.09.2010.
-
C. Schwarzer, E. Mujagic, M. Nobile, H. Detz, S. Ahn, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Strategies for Coherent Coupling of Ring Cavity Surface Emitting Intersubband Lasers";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
30.08.2010
- 03.09.2010.
-
B. Basnar, A. Lugstein, M. Schinnerl, G. Strasser, E. Bertagnolli:
"Direct Determination of Forward Sputtering Rates and Redeposition for Focused Ion Beam Milling";
Poster: International Conference on Ion Beam Modification of Materials (IBMM),
Montreal;
22.08.2010
- 27.08.2010.
-
H. Detz, C. Deutsch, P. Klang, M. Nobile, A. Benz, A. M. Andrews, G. Hesser, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb Quantum Cascade Lasers grown by MBE";
Vortrag: 16th International Conference on Molecular Beam Epitaxy,
Berlin/Deutschland;
22.08.2010
- 27.08.2010.
-
A. Lugstein, C. Zeiner, T. Burchhart, E. Bertagnolli:
"Conductivity Modulation in Germanium Nanowires by Discrete Ion Implantation";
Vortrag: International Conference on Ion Beam Modification of Materials (IBMM),
Montreal;
22.08.2010
- 27.08.2010.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Microdisk THz quantum-cascade lasers with super-conducting cavities";
Vortrag: SPIE Optics+Photonics 2010,
San Diego, USA;
01.08.2010
- 05.08.2010.
-
R. Amsüss, S. Haslinger, Christoph Hufnagel, C. Koller, N. Lippok, T. Nöbauer, C. Novotny, S. Putz, S. Rotter, M. Schramböck, S. Schneider, J. Majer, H.-J. Schmiedmayer:
"Coupling of spin ensembles to superconducting cavities";
Poster: Optics Summerschool 2010,
Denmark;
08/2010.
-
S. Ahn, E. Mujagic, M. Nobile, H. Detz, C. Schwarzer, A. M. Andrews, W. Schrenk, G. Strasser:
"Temperature-induced beam steering of Y-coupled quantum cascade lasers";
Poster: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
25.07.2010
- 30.07.2010.
-
A. Benz, M. Brandstetter, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Superconducting metal-metal waveguide for THz quantum-cascade lasers";
Poster: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
25.07.2010
- 30.07.2010.
-
F. Carosella, R. Ferreira, G. Strasser, K. Unterrainer, G. Bastard:
"Interface defects controlled broadening of Landeau levels in multiple QW structures";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
25.07.2010
- 30.07.2010.
-
M. Coquelin, A. M. Andrews, G. Strasser, P. Bakshi, E. Gornik:
"THz emission from resonant intersubband plasmons";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
25.07.2010
- 30.07.2010.
-
M. Madl, W. Brezna, G. Strasser, P. Klang, A. M. Andrews, J. Smoliner, M. Bodnarchuk, Kovalenko N.O., M. Yarema, W. Heiss:
"Mapping the local photoresponse of epitaxial and colloidal quantum dots by photoconductive atomic force microscopy";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
25.07.2010
- 30.07.2010.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, S. Ahn, H. Detz, P. Klang, A. M. Andrews, Y. Douvry, C. Gaquière, J. De Jaeger, L. Toth, B. Pecz, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"Improvements of High Performance 2-nm-thin InAlN/AlN Barrier Devices by Interface Enfineering";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
25.07.2010
- 30.07.2010.
-
C. Schwarzer, Y. Yao, E. Mujagic, S. Ahn, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Fundamental coupling strategies for coherent operation of quantum cascade ring laser arrays";
Poster: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
25.07.2010
- 30.07.2010.
-
A. Stier, C. Ellis, H. Zhang, D. Eason, G. Strasser:
"THz Quantum Hall conductivity studies in a GaAs heterojunction";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
25.07.2010
- 30.07.2010.
-
M. Wagner, D. Golde, D. Stehr, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser, M. Kira, S. Koch:
"Fano signatures in the intersubband THz response of GaAs/AlGaAs quantum wells after interband photoexcitation";
Poster: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
25.07.2010
- 30.07.2010.
-
M. Wagner, H. Schneider, D. Stehr, S. Winnerl, M. Helm, T. Roch, A. M. Andrews, S. Schartner, G. Strasser:
"Terahertz induced intra-excitonic Autler-Townes effect in semiconductor quantum wells";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
25.07.2010
- 30.07.2010.
-
M. Budil, G. Hobler:
"Topography Simulation of Sputtering using an Algorithm with Second Order Approximation in Space";
Vortrag: International Conference on Simulation of Radiation Effects in Solids,
Krakow, Polen;
19.07.2010
- 23.07.2010.
-
G. Hobler:
"Binary Collision Simulation of Focused Ion Beam Milling of Deep Trenches";
Vortrag: International Conference on Simulation of Radiation Effects in Solids,
Krakow, Polen;
19.07.2010
- 23.07.2010.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"Etching of Silicon by Chlorine Gas using a Focused Electron Beam";
Vortrag: 3rd workshop on focused electron beam induced processing (FEBIP),
Albany, USA;
15.07.2010
- 16.07.2010.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"New Approach for Cleaning a SEM Chamber from Hydrocarbon Contamination";
Poster: 3rd workshop on focused electron beam induced processing (FEBIP),
Albany, USA;
15.07.2010
- 16.07.2010.
-
N. Jährling, K. Becker, H. Dodt:
"Ultramicroscopy in mice: 3D-imaging of vascular networks utilising lectin-staning";
Poster: 7th Fens Forum of European Neuroscience,
Amsterdam;
03.07.2010
- 07.07.2010.
-
G. Strasser:
"Photonic Engineering of Intraband Lasers";
Vortrag: Physics Colloquium of Intraband Lasers University of Innsbruck,
Innsbruck (eingeladen);
29.06.2010.
-
C. Henkel, S. Abermann, O. Bethge, G. Pozzovivo, E. Bertagnolli:
"Ge SB-p-MOSFET with ALD ZrO2/La2 O3 Dielectrics";
Vortrag: Workshop on Dielectrics in Microelectronics (WODIM),
Bratislava, Slavakei;
28.06.2010
- 30.06.2010.
-
G. Hobler:
"Simulation of Nanostructuring with Focused Ion Beams";
Vortrag: FIB-Workshop,
Wien (eingeladen);
28.06.2010
- 29.06.2010.
-
V. Mitin, A. Sergeev, N. Vagidov, G. Strasser, A. Antipov, L. Chien:
"Quantum Dot Infrared Photodetectors: Structures with Vertically Correlated Dot Clusters";
Vortrag: 2010 Villa Conference on Interaction among Nanostructures (VCIAN),
Santorini, Greece (eingeladen);
21.06.2010
- 25.06.2010.
-
N. Jährling, K. Becker, B. Wegenast-Braun, S. Grathwohl, D. Eicke, H. Dodt:
"Bioimaging: 3D-Visualization of Alzheimer“s Disease Pathologies in Intact Mouse Brains by Ultramicroscopy";
Vortrag: 6th PhD-Symposium,
Wien;
16.06.2010
- 17.06.2010.
-
J. De Jaeger, C. Gaquière, Y. Douvry, N. Defrance, V. Hoel, S. Delage, M. di Forte-Poisson, N. Sarazin, E. Morvan, M. Alomari, E. Kohn, A. Dussaigne, J. Carlin, J. Kuzmik, C. Ostermaier, D. Pogany:
"Microwave Power Capabilities of InAlN/GaN HEMTs"";
Vortrag: 4th Microwave and Radar Week MRW-2010 (MIKON 2010),
Vilnius, Lithuania;
14.06.2010
- 18.06.2010.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"Electron beam induced etching of silicon using chlorine gas";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Marco Island, Florida, USA;
01.06.2010
- 04.06.2010.
-
W. Mamanee, D. Johnsson, S. Bychikhin, M. Stecher, K. Esmark, H. Gossner, E. Gornik, P. Rodin, D. Pogany:
"Pulse risetime effect on current filamentary modes and interaction of current filaments in ESD protection devices";
Vortrag: ESD workshop,
Tutzing, Deutschland;
10.05.2010
- 13.05.2010.
-
C. Koller, R. Amsüss, S. Haslinger, Christoph Hufnagel, N. Lippok, C. Nowotny, M. Schramböck, S. Schneider, J. Majer, H.-J. Schmiedmayer:
"Hybrid Quantum Systems - Integrating solid state and atomic qubits";
Poster: Junior Scientist Conference 2010,
TU Wien;
07.04.2010
- 09.04.2010.
-
T. Burchhart, C. Zeiner, A. Lugstein, J. Silvano de Sousa, J. Smoliner, E. Bertagnolli:
"Atomically Sharp Germanium Nanowire-metal Heterostructures for Ω-gated High Performance MOSFETs and Quantum Devices";
Vortrag: MRS Spring Meeting,
San Francisco, USA;
05.04.2010
- 09.04.2010.
-
V. Mitin, A. Sergeev, L. Chien, A. Antipov, G. Strasser:
"Photodetectors on structures with vertically correlated quantum-dot clusters";
Vortrag: SPIE Defense, Security and Sensing 2010,
Orlando, USA (eingeladen);
05.04.2010
- 09.04.2010.
-
R. Amsüss, S. Haslinger, Christoph Hufnagel, C. Koller, N. Lippok, C. Novotny, M. Schramböck, S. Schneider, J. Majer, H.-J. Schmiedmayer:
"Hybrid Quantum Systems: Integrating Atomic and Solid-State Qubits";
Poster: Young Atom Opticians Conference 2010,
Amsterdam, Netherlands;
22.03.2010
- 27.03.2010.
-
J. Kuzmik:
"Technology, properties and characterization of InAlN/GaN HEMTs";
Vortrag: International RCIQE/CREST Joint Workshop,
Hokkaido (eingeladen);
01.03.2010
- 02.03.2010.
-
V. Mitin, A. Antipov, L. Chien, A. Sergeev, G. Strasser, N. Vagidov, S. Birner:
"Quantum-Dot Infrared Photodetectors: Structures with Correlated Dot Clusters for Room Termperature Operation";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
22.02.2010
- 26.02.2010.
-
J.M. Greil, A. Lugstein, E. Bertagnolli:
"Silicon nanowire solarcell";
Poster: Workshop for thin film solar cells,
Delft, Niederlande;
27.01.2010
- 29.01.2010.
-
A. Antipov, G. Strasser, A. Sergeev, L. Chien, N. Vagidov, V. Mitin:
"Quantum dot photodetectors based on structures with collective potential barriers";
Vortrag: Photonics West,
San Francisco, USA;
23.01.2010
- 28.01.2010.
-
E. Mujagic, M. Nobile, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, C. Deutsch, K. Unterrainer, J. Chen, C. Gmachl:
"Ring Resonator based Surface Emitting Quantum Cascade Lasers";
Vortrag: Photonics West,
San Francisco, USA;
23.01.2010
- 28.01.2010.
-
G. Strasser, M. Nobile, H. Detz, A. M. Andrews, P. Klang, W. Schrenk:
"An aluminum-free mid-infrared quantum cascade laser";
Vortrag: Photonics West,
San Francisco, USA;
23.01.2010
- 28.01.2010.
-
M. Tchernycheva, H. Macchadani, S. Sakr, L. Nevou, J. Mangeney, L. Vivien, F.H. Julien, P. Kandaswamy, A. Wirthmüller, E. Monroy, A. Vardi, S. Schacham, G. Bahir, G. Pozzovivo, S. Golka, G. Strasser:
"Nitride infrared intersubband devices";
Vortrag: Photonics West,
San Francisco, USA (eingeladen);
23.01.2010
- 28.01.2010.
-
G. Strasser:
"Beam shaping and coherence in MID-IR lasers";
Vortrag: Physikalisches Kolloquium,
Linz (eingeladen);
07.01.2010.
-
A. Lugstein, M. Steinmair, C. Henkel, E. Bertagnolli:
"In place growth of vertical Si nanowires for surround gated MOSFETs with self aligned contact formation";
Vortrag: International Nanoelectronic Conference,
Hong Kong, China;
03.01.2010
- 08.01.2010.
-
G. Strasser:
""The masters of light" - Die Gründerväter der optischen Technologien";
Vortrag: Montanuniversität Leoben,
Leoben (eingeladen);
16.12.2009.
-
V. Mitin, A. Antipov, L. Chien, A. Sergeev, G. Strasser, N. Vagidov, S. Birner:
"Room-Temperature Quantum-Dot Photodetectors: Structures with Correlated Dot clusters";
Vortrag: Advanced Workshop on Frontiers in Electronics (WOFE),
Rincon, Puerto Rico;
13.12.2009
- 16.12.2009.
-
K. Unterrainer, A. Benz, G. Fasching, C. Deutsch, W. Parz, J. Darmo, A. M. Andrews, W. Schrenk, G. Strasser:
"THz Quantum Cascade Lasers with Microgravity and Photonics Crystal Resonators";
Vortrag: Workshop on Information, Nano and Photonics Technology 2009 (WINPTech 2009),
Kobe, Japan (eingeladen);
01.12.2009
- 02.12.2009.
-
D. Kriegner, M. Keplinger, A. M. Andrews, J. Stangl, G. Strasser, G. Bauer:
"Crystal structure and orientation of nanowires";
Poster: MRS Fall Meeting,
Boston, USA;
30.11.2009
- 04.12.2009.
-
M. Nobile, H. Detz, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"InGaAs/GaAsSb Heterostructures: Aluminum-Free Intersubband Devices";
Vortrag: Materials Research Society Fall Meeting (MRS),
Boston, USA;
30.11.2009
- 04.12.2009.
-
T. Moldaschl, G. Lilley, M. Krall, C. Deutsch, A. Benz, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser:
"Quantum Dot Spectroscopy and Terahertz Quantum Cascade Lasers";
Vortrag: IRON Workshop,
Linz;
19.11.2009
- 20.11.2009.
-
G. Strasser:
""The masters of light" - Die Gründerväter der optischen Technologien";
Vortrag: Chemisch-physikalische Gesellschaft,
Wien (eingeladen);
03.11.2009.
-
V. Mitin, A. Antipov, L. Chien, A. Sergeev, G. Strasser, N. Vagidov:
"QDIPs: Structures with Correlated Dot Clusters for Room Temperature Operation";
Vortrag: Int. WS on THz Plasmonic Devices: IWTPD 2009,
Tokyo, Japan (eingeladen);
02.11.2009
- 04.11.2009.
-
T. Burchhart, A. Lugstein, Y.J. Hyun, G. Hochleitner, E. Bertagnolli:
"Fabrication and Electrical Characterization of Ge Nanowires with Atomic Scale Aligned Copper-Germanide Contacts";
Vortrag: 4th International Conference on Surfaces, Coatings and Nanostructured Materials (NanoSMat2009),
Rom, Italien;
19.10.2009
- 22.10.2009.
-
H. Macchadani, M. Tchernycheva, L. Nevou, J. Mangeney, E. Warde, F.H. Julien, P. Kandaswamy, A. Wirthmuller, E. Monroy, A. Vardi, S. Schacham, G. Bahir, G. Pozzovivo, S. Golka, G. Strasser:
"GaN/AlGaN nanostructures for intersubband optoelectronics";
Vortrag: Conference of Nitride Semiconductors (ICNS),
Jeju, Korea;
18.10.2009
- 23.10.2009.
-
A. Avdic, A. Lugstein, E. Bertagnolli:
"Application of FIB induced nanowires";
Poster: Mikrosystemtechnik Kongress 2009,
Berlin, D;
12.10.2009
- 14.10.2009.
-
C. Henkel, S. Abermann, O. Bethge, E. Bertagnolli:
"Pt/Ge Schottky-Barrier Reduction by Rapid Thermal Diffusion of P Dopants";
Vortrag: Meeting of the Electrochemical Society (ECS),
Wien;
07.10.2009
- 09.10.2009.
-
G. Haberfehlner, S. Bychikhin, V. Dubec, M. Heer, A. Podgaynaya, M. Pfost, M. Stecher, E. Gornik, D. Pogany:
"Thermal imaging of smart power DMOS transistors in the thermally unstable regime using a compact transient interferometric mapping system";
Hauptvortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, France;
05.10.2009
- 09.10.2009.
-
H. Köck, V. Kosel, C. Djelassi, M. Glavanovics, D. Pogany:
"IR thermography and FEM simulation analysis of on-chip temperature during thermal-cycling power-metal reliability testing using in-situ heated structures";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, France;
05.10.2009
- 09.10.2009.
-
S. Abermann, C. Ostermaier, G. Pozzovivo, J. Kuzmik, O. Bethge, C. Henkel, G. Strasser, D. Pogany, C. Giesen, M. Heuken, M. Alomari, E. Kohn, E. Bertagnolli:
"oeAtomic Layer Deposition of High-k Oxides on InAlN/GaN-Based Materials";
Vortrag: Meeting of the Electrochemical Society (ECS),
Wien;
04.10.2009
- 09.10.2009.
-
O. Bethge, S. Abermann, C. Henkel, E. Bertagnolli:
"Top-down" approach for vertical nanowire arrays incorporating ALD high-k metal gate stacks";
Poster: Meeting of the Electrochemical Society (ECS),
Wien;
04.10.2009
- 09.10.2009.
-
S. Kalchmair, E. Mujagic, M. Schramböck, S. Schartner, W. Schrenk, G. Strasser:
"E-beam lithography for mid-IR photonic devices";
Vortrag: Raith European Usergroup meeting 2009,
Gent;
29.09.2009.
-
A. Lugstein, M. Steinmair, C. Henkel, E. Bertagnolli:
"Vertical gate all around Si nanowire MOSFETs";
Vortrag: International Conference Micro- and Nanoelectronics (ICMNE),
Ghent, Belgien;
28.09.2009
- 01.10.2009.
-
H. Dodt, J. Schenkel:
"Advances in fluorescence microscopy";
Vortrag: 47. Wissenschaftliche Tagung der Gesellschaft für Versuchsttiere GV-SOLAS,
Wien;
13.09.2009
- 15.09.2009.
-
B. Basnar, A. M. Andrews, S. Schartner, M. Austerer, E. Mujagic, P. Klang, W. Schrenk, G. Strasser:
"Tuning of mid-infrared quantum cascade lasers using chromic claddings";
Poster: ITQW,
Montreal, Canada;
06.09.2009
- 11.09.2009.
-
G. Strasser, A. Antipov, A. Sergeev, L. Chien, N. Vagidov, V. Mitin:
"Room-Temperature Quantum-Dot Photodetectors: Structures with Collective Potential Barriers";
Vortrag: ITQW,
Montreal, Canada;
06.09.2009
- 11.09.2009.
-
M. Wagner, D. Golde, D. Stehr, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser, M. Kira, S. Koch:
"Fano interference in the intersubband THz response of photoexcited GaAs/AlGaAs quantum wells";
Vortrag: ITQW,
Montreal, Canaca;
06.09.2009
- 11.09.2009.
-
C. Deutsch, A. Benz, G. Fasching, K. Unterrainer, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Terahertz Quantum Cascade Lasers-Bandstructure Optimizations and Surface Emitting Cavities";
Vortrag: Coqus Retreat 2009,
Traunkirchen;
04.09.2009
- 06.09.2009.
-
S. Abermann, O. Bethge, C. Henkel, E. Bertagnolli:
"Atomic Layer Deposition: Thin film- and interface engineering for the post-silicon era";
Vortrag: ÖPG-Jahrestagung,
Innsbruck;
02.09.2009
- 04.09.2009.
-
A. M. Andrews, H. Detz, M. Nobile, P. Klang, E. Mujagic, W. Schrenk, G. Strasser:
"Al-free Material System for InP-based Intersubband Devices";
Vortrag: ÖPG-Jahrestagung,
Innsbruck;
02.09.2009
- 04.09.2009.
-
O. Bethge, S. Abermann, C. Henkel, E. Bertagnolli:
"Processing of vertical-aligned Si-nanowire arrays for next generation CMOS devices";
Poster: ÖPG-Jahrestagung,
Innsbruck;
02.09.2009
- 04.09.2009.
-
C. Henkel, S. Abermann, O. Bethge, R. Felix, E. Bertagnolli:
"Integration of High-k/Metal Gate Schottky Barrier MOSFETs";
Poster: ÖPG-Jahrestagung,
Innsbruck;
02.09.2009
- 04.09.2009.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, K. Cico, K. Fröhlich, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"High Performance of Thermally Stable Enhancement-Mode HEMTs on In/AlN/GaN Heterostructures";
Poster: ÖPG-Jahrestagung,
Innsbruck;
02.09.2009
- 04.09.2009.
-
R. Amsüss, S. Haslinger, Christoph Hufnagel, C. Koller, N. Lippok, M. Schramböck, K. Henschel, H. Zoubi, J. Majer, S. Schneider, H. Ritsch, H.-J. Schmiedmayer:
"Hybrid Quantum Systems: Integrating Atomic and Solid State Qubits";
Poster: Joint Annual meeting of the Austrian and Swiss Federal Physical Society,
Inssbruck;
09/2009.
-
S. Haslinger, R. Amsüss, Christoph Hufnagel, C. Koller, N. Lippok, M. Schramböck, K. Henschel, H. Zoubi, J. Majer, S. Schneider, H. Ritsch, H.-J. Schmiedmayer:
"Hybrid Quantum Systems: Integrating Atomic and Solid State Qubits";
Poster: Quantum Information, Processing and Communication Conference,
Rom;
09/2009.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, K. Cico, K. Fröhlich, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"Depletion and Enhancement Mode InAlN/GaN HEMTs for Digital Circuits";
Vortrag: International Symposium on Compound Semiconductors (ISCS),
Santa Barbara, California, USA;
30.08.2009
- 02.09.2009.
-
G. Pozzovivo, C. Ostermaier, J. Carlin, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"High-Electric-Field Degradation Effects in Unpassivated InAlN/GaN HEMTs";
Vortrag: International Symposium on Compound Semiconductors (ISCS),
Santa Barbara, California, USA;
30.08.2009
- 02.09.2009.
-
O. Glushko, R. Meisels, S. Schartner, S. Kalchmair, G. Strasser:
"Optimization of quantum well infrared photodetectors with embedded photonic crystals";
Vortrag: International School and Conference on Photonics,
Belgrade, Serbia;
24.08.2009
- 28.08.2009.
-
M. Nobile, H. Detz, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"A new Al-free material system for intersubband emitters and detectors";
Vortrag: 16th International Conference on Electron Dynamics In Semiconductors, Optoelectronics and Nanostructures,
Montpellier, Le Corum;
24.08.2009
- 28.08.2009.
-
M. Wagner, H. Schneider, M. Helm, S. Schartner, A. M. Andrews, T. Roch, G. Strasser:
"THz sideband generation in GaAs/AlGaAs multi quantum wells";
Poster: 16th International Conference on Electron Dynamics In Semiconductors, Optoelectronics and Nanostructures,
Montpellier, Le Corum;
24.08.2009
- 28.08.2009.
-
M. Wagner, D. Stehr, H. Schneider, M. Helm, D. Golde, M. Kira, S. Koch, A. M. Andrews, T. Roch, G. Strasser:
"Fano profile in the intersubband THz response of photoexcited GaAs/AlGaAs quantum wells";
Vortrag: 16th International Conference on Electron Dynamics In Semiconductors, Optoelectronics and Nanostructures,
Montpellier, Le Corum;
24.08.2009
- 28.08.2009.
-
H. Detz, P. Klang, M. Nobile, A. M. Andrews, E. Mujagic, S. Schartner, W. Schrenk, G. Strasser:
"Growth of the Al-free Material System InGaAs/GaAsSb for Optoelectronic Intersubband Devices";
Vortrag: North American Conf. on Molecular Beam Epitaxy (NAMBE),
Princeton, USA;
09.08.2009
- 12.08.2009.
-
M. Nobile, S. Schartner, E. Mujagic, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Intersubband absorption in InGaAs/GaAsSb multi quantum wells";
Poster: Modulated Semiconductor Structures 14 (MSS-14),
Kobe, Japan;
19.07.2009
- 24.07.2009.
-
R. Nedzinskas, B. Cechavicius, J. Kavaliauskas, A. Cerskus, J. Kundrotas, V. Karpus, V Tamosiunas, G. Valusis, G. Fasching, K. Unterrainer, G. Strasser:
"Optical study of InAs quantum dot stacks embedded in GaAs/AlAs superlattices";
Vortrag: Semiconducting and Insulating Materials Conference,
vilnius, Lithuania;
15.06.2009
- 19.06.2009.
-
W. Kuehn, W. Parz, P. Gaal, K. Reimann, M. Woerner, T. Elsaesser, T. Müller, J. Darmo, K. Unterrainer, M. Austerer, G. Strasser:
"Femtosecond Dynamics of a Midinfrared Quantum Cascade Laser";
Vortrag: Conference on Lasers and Electro-Optics (CLEO),
München, Deutschland;
14.06.2009
- 19.06.2009.
-
E. Mujagic, L. Hoffmann, S. Schartner, M. Nobile, H. Detz, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Beam Shaping in Quantum Cascade Ring Lasers";
Vortrag: Conference on Lasers and Electro-Optics (CLEO),
München, Deutschland;
14.06.2009
- 19.06.2009.
-
C. Deutsch, A. Benz, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Terahertz quantum cascade lasers: designs of the active region and microcavities";
Vortrag: THz Frischlinge Meeting Berlin 2009,
Berlin, Deutschland;
07.06.2009
- 10.06.2009.
-
H. D. Wanzenböck, P. Rödiger, M. Hörtlackner, E. Bertagnolli:
"Analysis and evaluation process for quantification of residual gas deposition by a focused electron beam";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Florida, USA;
26.05.2009
- 29.05.2009.
-
W. Mamanee, S. Bychikhin, D. Johnsson, N. Jensen, M. Stecher, E. Gornik, D. Pogany:
"Effect of Chip Heating on Thermal Breakdown Occurrence in SPT ESD Protection Devices Subjected to 0.5-1µs Long Current Pulses";
Poster: International electrostatic discharge workshop,
Lake Tahoe;
18.05.2009
- 21.05.2009.
-
D. Pogany, S. Bychikhin, K. Esmark, P. Rodin, D. Johnsson, M. Stecher, E. Gornik, H. Gossner:
"Modeling of on-state width spreading and voltage transients in 90nm CMOS SCR";
Vortrag: International electrostatic discharge workshop,
Lake Tahoe, CA;
18.05.2009
- 21.05.2009.
-
D. Pogany, S. Bychikhin, D. Johnsson, K. Esmark, P. Rodin, M. Stecher, E. Gornik, H. Gossner:
"Assessing "2D" holding point in ESD protection structures exhibiting 3D behavior using multi-level TLP analysis";
Vortrag: International electrostatic discharge workshop,
Lake Tahoe;
18.05.2009
- 21.05.2009.
-
L. Hoffmann, M. Klinkmüller, E. Mujagic, M. Semtsiv, W. Schrenk, W. Masselink, G. Strasser:
"Phase-Locking in Quantum Cascade Laser Arrays";
Vortrag: International Conference on Indium Phosphide an Related Materials,
Newport Beach, USA;
10.05.2009
- 14.05.2009.
-
N. Jährling:
"3D-Rekonstruktionen morphologischer Strukturen mittels Ultramikroskopie";
Vortrag: Cell Imaging and Ultrastructure Research,
Wien;
05.05.2009.
-
A. Lugstein, A. Avdic, E. Bertagnolli:
"FIB generated antimony nanowires as chemical sensors";
Vortrag: SPIE Europe: Microtechnologies for the New Millennium,
Dresden, Deutschland;
04.05.2009.
-
H. Detz:
"Growth of GaAs Nanowires on Si Nanowires and Substrates";
Vortrag: University at Buffalo,
Buffalo, USA (eingeladen);
01.05.2009.
-
G. Strasser:
"Quantum Cascade Lasers";
Vortrag: Physics Colloquium, Dep. of Physics and Applied Physics, University of Massachusetts,
Lowell, USA (eingeladen);
15.04.2009.
-
N. Jährling, K. Becker, E. Kramer, M. Körte, R. Weiler, H. Dodt:
"Morphological analysis of developing nerve fibres in mouse embryos by ultramicroscopy";
Poster: Meeting of the German Neuroscience Society,
Göttingen, Deutschland;
25.03.2009
- 29.03.2009.
-
S. Abermann, O. Bethge, C. Henkel, E. Bertagnolli:
"Electrical Characteristics of Atomic Layer Deposited Aluminium Oxide and Lanthanum-Zirconium Oxide High-k Dielectric Stacks";
Poster: International Conference on Ultimate Integration of Silicon (ULIS),
Aachen, Deutschland;
18.03.2009
- 20.03.2009.
-
C. Henkel, S. Abermann, O. Bethge, P. Klang, E. Bertagnolli:
"Impact of sputter deposited TaN and TiN metal gates on ZrO2/Ge and ZrO2/Si hih-k dielectric gate stacks";
Poster: International Conference on Ultimate Integration of Silicon (ULIS),
Aachen, Deutschland;
18.03.2009
- 20.03.2009.
-
Y.J. Hyun, A. Lugstein, M. Steinmair, E. Bertagnolli, P. Pongratz:
"Analysis of Kinking Nanowires by High Resolution Transmission Electron Microscopy";
Vortrag: Microscopy of semiconducting Materials (MSM),
Oxford, Endgland;
17.03.2009
- 20.03.2009.
-
R. Ramaswamy, K. Wang, M. Bell, A. Sergeev, A. Verevkin, G. Strasser, V. Mitin:
"Electron heating in disordered 2DEG GaAs/AlGaAs structures by THz radiation";
Poster: APS March Meeting,
Pittsburgh, USA;
16.03.2009
- 20.03.2009.
-
K. Wang, R. Ramaswamy, M. Bell, A. Sergeev, A. Verevkin, G. Strasser, V. Mitin:
"Terahertz mixing in AlGaAs/GaAs 2DEG hot-electron microbolometers at liquid nitrogen temperatures";
Vortrag: APS March Meeting,
Pittsburgh, USA;
16.03.2009
- 20.03.2009.
-
R. Ramaswamy, K. Wang, M. Bell, A. Sergeev, A. Verevkin, G. Strasser, V. Mitin:
"Hot-Electron 2DEG Micro-bolometer for a Terahertz Mixer";
Poster: International Workshop on Optical Terahertz Science and Technology (OTST 2009),
Fess Parkers Doubletree Resort, Santa Barbara, California, USA;
07.03.2009
- 11.03.2009.
-
W. Parz, T. Müller, J. Darmo, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Ultrafast Spectroscopy as a Probe of Intersubband Gain Dynamics in mid-infrared Quantum Cascade Lasers";
Vortrag: ADLIS workshop,
München, Deutschland;
02.03.2009
- 04.03.2009.
-
A. Lugstein:
"A Novel, Focused Ion Beam Directed Route for the Local Synthesis of Nanopattern at Room Temperature";
Vortrag: EUSPEN,
Edinburgh;
24.02.2009
- 25.02.2009.
-
D. Pogany, S. Bychikhin, M. Heer, W. Mamanee, V. Dubec, E. Gornik, D. Johnsson, K. Domanski, K. Esmark, W. Stadler, H. Gossner, M. Stecher:
"Application of transient interferometric mapping (TIM) technique for analysis of ns time scale thermal and free carrier dynamics in ESD protection devices";
Poster: Optical localization techniques Workshop,
Toulouse;
26.01.2009
- 27.01.2009.
-
D. Stehr, M. Wagner, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser:
"Two-color pump-probe studies of intraminiband relaxation in doped GaAs/AlGaAs superlattices";
Vortrag: Photonics West,
San Jose, USA (eingeladen);
24.01.2009
- 29.01.2009.
-
H. Detz, P. Klang, A. M. Andrews, Y.J. Hyun, A. Lugstein, W. Schrenk, G. Strasser:
"Epitaxial III-V Nanowire Growth on Planar Si Surfaces";
Poster: MRS Fall Meeting,
Boston, USA;
01.12.2008
- 05.12.2008.
-
S. Löffler, A. Lugstein, E. Auer, E. Bertagnolli:
"Epitaxial Catalyst-free Growth and Characterization of Nanowires of Strongly Correlated VO2 Exhibiting a MIT";
Vortrag: MRS Fall Meeting,
Boston, USA;
01.12.2008
- 05.12.2008.
-
C. Ostermaier, S. Ahn, K. Potzger, M. Helm, S. Kalchmair, D. Pogany, J. Lee, S. Hahm, J. Lee:
"Realization of Inversion-type GaN MOSFETs with Ar Implantation for Device Isolation";
Poster: Junior Scientist Conference 2008,
Technische Universität Wien;
16.11.2008
- 18.11.2008.
-
P. Klang, H. Detz, A. M. Andrews, B. Basnar, W. Schrenk, A. Lugstein, G. Strasser:
"Low Dimensional Nanostructures Grown by Molecular Beam Epitaxy";
Poster: GMe Forum 2008,
Wien;
13.11.2008
- 14.11.2008.
-
G. Pozzovivo, J. Kuzmik, S. Abermann, C. Ostermaier, J. Carlin, M. Gonschorek, E. Feltin, J. Liday, N. Grandjean, E. Bertagnolli, G. Strasser, D. Pogany:
"Recent Improvements on InAlN/GaN MOS-HEMTs";
Poster: GMe Forum 2008,
Wien;
13.11.2008
- 14.11.2008.
-
J. Smoliner, W. Brezna, A. M. Andrews, G. Strasser:
"Quantitative Scanning Capacitance Microscopy on Buried InAs Quantum Dots";
Poster: GMe Forum 2008,
Wien;
13.11.2008
- 14.11.2008.
-
H. D. Wanzenböck, G. Hochleitner, M. Fischer, E. Bertagnolli:
"Dielectric Nanostructure Deposition by Focused Particle Beams";
Poster: International Conference on VLSI Multilevel Interconnection,
Fremont, California, USA;
27.10.2008
- 30.10.2008.
-
E. Gornik:
"Öffentliche Verantwortung der Ökonomisierung und Politisierung der Wissenschaft?";
Vortrag: Österreichischer Wissenschaftstag 2008,
Semmering;
23.10.2008
- 25.10.2008.
-
E. Auer, S. Löffler, A. Lugstein, E. Bertagnolli:
"Influence of Oxygen and Temperature on beta-Ga2O3 Nanowire Synthesis";
Vortrag: 2nd International Symposium on Transparent Conductive Oxides,
Crete, Greece;
22.10.2008
- 26.10.2008.
-
C. Ebm, M. Budil, G. Hobler:
"oeAssessment of approximations for efficient topography simulation of ion beam processes: 10 keV Ar on Si";
Vortrag: 9th International Conference on Simulation of Radiation Effects in Solids,
Beijing, China;
12.10.2008
- 17.10.2008.
-
H. D. Wanzenböck, S. Forouzan, E. Bertagnolli:
"Biophysical Electroanalysis of Human Coco-2 Tissue utilizing Microstructured Biosensors";
Vortrag: Meeting of the Electrochemical Society (ECS),
Honolulu, HI;
12.10.2008
- 17.10.2008.
-
H. D. Wanzenböck, S. Forouzan, E. Bertagnolli:
"Topographic Effects of Microstructured Biosensors";
Vortrag: Meeting of the Electrochemical Society (ECS),
Honolulu, HI;
12.10.2008
- 17.10.2008.
-
H. D. Wanzenböck, G. Hochleitner, P. Rödiger, E. Bertagnolli:
"Direct-Write Deposition of Fe and Co Nanostructures";
Vortrag: Meeting of the Electrochemical Society (ECS),
Honolulu, HI;
12.10.2008
- 17.10.2008.
-
M. Heer, P. Grombach, A. Heid, D. Pogany:
"Hot spot analysis during thermal shutdown of SOI BCDMOS half bridge driver for automotive applications";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Maastrich, The Netherlands;
29.09.2008
- 02.10.2008.
-
G. Hochleitner, H. D. Wanzenböck, P. Rödiger, E. Bertagnolli:
"Electron-beam induced deposition of metal catalyst arrays";
Vortrag: Materials Research Society Fall Meeting (MRS),
Warsaw, Poland;
15.09.2008
- 19.09.2008.
-
L.C. Whitmore, T. Koch, S. Abermann:
"Transmission Electron Microscopy of Indented ZrO2 Layers on Silicon Substrates";
Poster: 2008 E-MRS Fall Meeting,
Warsaw University of Technology, Poland;
15.09.2008
- 19.09.2008.
-
M. Nobile, M. Austerer, H. Detz, S. Schartner, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Nonlinear wave-mixing in twin-waveguide GaAs/AlGaAs quantum-cascade lasers";
Vortrag: International Quantum Cascade Lasers School & Workshop,
Monte Verita, Ascona, Switzerland;
14.09.2008
- 19.09.2008.
-
Y. Todorov, A. M. Andrews, I. Sagnes, G. Strasser, C. Sirtori:
"THz Intersubband Polaritons";
Poster: International Quantum Cascade Lasers School & Workshop,
Monte Verita, Ascona, Switzerland;
14.09.2008
- 19.09.2008.
-
P. Pongratz, Y.J. Hyun, A. Ingstein, E. Bertagnolli:
"TEM analysis of extended defects in silicon whiskers and branched single crystalline GaAs/AlAs whiskers on Si nanowire trunks";
Vortrag: International Conference on Extended Defects in Semiconductors 2008,
Futuroscope/Poitiers, France;
12.09.2008
- 19.09.2008.
-
D. Kovac, G. Hobler:
"oeAmorphous pocket model based on the modified heat transport equation and local lattice collapse";
Vortrag: International Conference on Ion Beam Modification of Materials (IBMM),
Dresden, Deutschland;
31.08.2008
- 05.09.2008.
-
H. Detz, A. M. Andrews, P. Klang, A. Lugstein, M. Schramböck, M. Steinmair, Y.J. Hyun, E. Bertagnolli, W. Schrenk, G. Strasser:
"Growth of one-dimensional III-V structures on Si nanowires and pre-treated plane Si surfaces";
Vortrag: 15th Int. Conference on MBE,
Vancouver, Canada;
03.08.2008
- 08.08.2008.
-
P. Klang, A. M. Andrews, M. Schramböck, W. Schrenk, G. Strasser:
"Growth of InAs Quantum Dots for Intersubband Devices";
Vortrag: 15th Int. Conference on MBE,
Vancouver, Canada;
03.08.2008
- 08.08.2008.
-
W. Brezna, G. Fasching, K. Unterrainer, G. Strasser, J. Smoliner:
"Atomic Force Microscopy based on Room Temperature Photocurrent Spectroscopy of Single Subsurface InAs Quantum Dots";
Vortrag: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008.
-
M. Coquelin, R. Zobl, G. Strasser, E. Gornik, P. Bakshi, M. Heiblum:
"THz Emission based on Intersubband Plasmon Resonances";
Vortrag: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008.
-
L. Hoffmann, C. Hurni, S. Schartner, E. Mujagic, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, G. Strasser:
"Gain-driven phase-locking in Y-coupled Quantum Cascade Lasers";
Vortrag: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008.
-
T. Moldaschl, T. Müller, S. Golka, W. Parz, G. Strasser, K. Unterrainer:
"Coherent Control of Ground State Excitons in the Nonlinear Regime within an Ensemble of InAs Quantum Dots";
Vortrag: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008.
-
R. Nedzinskas, J. Cechavicius, J. Kavaliauskas, V. Karpus, D. Seliuta, V Tamosiunas, G. Valusis, F. Schrey, K. Unterrainer, G. Strasser:
"Electronic Structure of InAs Quantum Dots in GaAs/AlAs Superlattice";
Poster: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008.
-
S. Özcan, J. Smoliner, A. M. Andrews, G. Strasser, T. Dienel, T. Fritz:
"Ballistic Electron Attenuation Length in Titanylphthalocyanine Films Grown on";
Poster: International Conference on Physics of Semiconductor (ICPS),
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008.
-
S. Özcan, J. Smoliner, T. Dienel, T. Fritz:
"Investigation of metal/organic interfaces using ballistic electron emission";
Vortrag: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008.
-
W. Parz, T. Müller, M. Austerer, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Ultrafast Spectroscopy as a Probe of Light-Matter Interaction in a Mid-Infrared Quantum Cascade Laser";
Vortrag: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008.
-
D. Stehr, M. Wagner, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser:
"Intraminiband Relaxation in doped GaAs/AlGaAs Superlattices studied by Two-Color Infrared Pump-Probe Experiments";
Poster: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
27.07.2008
- 01.08.2008.
-
S. Özcan, J. Smoliner, T. Dienel, T. Fritz:
"Ballistic Electron Transport through Thin Organic Films";
Vortrag: International Conference on Nanoscience and Technology (ICNT),
Keystone, Colorado, USA;
20.07.2008
- 25.07.2008.
-
F. Schnorrer, C. Schönbauer, C. Langer, N. Jährling, H. Dodt, B. Dickson:
"A systematic genome-wide analysis of Drosophila muscle morphogenesis";
Poster: 20th International Congress of Genetics,
Berlin, Deutschland;
12.07.2008
- 17.07.2008.
-
H. D. Wanzenböck, G. Hochleitner, A. Rosenthal, W. Bühler:
"Multiple-Gas-Injector for Electron Beam Induced Deposition";
Poster: 2nt International FEBIP Workshop 2008 - Workshop on focused electron beam induced Processing,
Thun, Schweiz;
07.07.2008
- 08.07.2008.
-
T. Burchhart, A. Lugstein, E. Bertagnolli:
"In situ SEM-monitoring of Germanium Nanowire Device Altering under Electric Stress";
Vortrag: NODE Summer School,
Cortona, Italy;
01.07.2008
- 05.07.2008.
-
H. Detz, P. Klang, A. M. Andrews, Y.J. Hyun, M. Steinmair, A. Lugstein, E. Bertagnolli, W. Schrenk, G. Strasser:
"Epitaxial growth of GaAs needles on Si nanowires and planar surfaces";
Poster: NODE Summer School,
Cortona, Italy;
01.07.2008
- 05.07.2008.
-
C. Henkel, S. Abermann, O. Bethge, E. Bertagnolli:
"Impact of ALD deposition of platinum films on high-k dielectric materials";
Poster: Workshop on Dielectrics in Microelectronics (WODIM),
Berlin, Deutschland;
23.06.2008
- 25.06.2008.
-
P. Klang, A. M. Andrews, H. Detz, M. Steinmair, A. Lugstein, W. Schrenk, G. Strasser:
"Structure of GaAs whiskers grown on silicon nanowires";
Vortrag: Struktura 2008 & 17th Regional Conf. on Powder Diffraction,
Valtice, Czech;
16.06.2008
- 20.06.2008.
-
H. Dodt:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by ultramicroscopy";
Vortrag: Doktorandenkolleg der TU,
TU Wien;
09.06.2008.
-
H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"Effect of gaseous additives on Electron Beam Induced Deposition";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Portland, USA;
27.05.2008
- 29.05.2008.
-
G. Pozzovivo, S. Golka, W. Schrenk, G. Strasser, L. Nevou, N. Kheirodin, M. Tchernycheva, A. Lupu, F.H. Julien, F. Guillot, S. Leconte, E. Monroy, F. Giorgetta, E. Baumann, D. Hofstetter, S. Nicolay, E. Feltin, N. Grandjean, G. Cywinski, A. Feduniewicz-Zmuda, C. Skierbiszewski:
"Nitride-based Intersubband Devices: An Overview of the Fabrication and its impact on performance";
Vortrag: E-MRS Spring Meeting,
Strasbourg, France (eingeladen);
26.05.2008
- 30.05.2008.
-
W. Parz, T. Müller, J. Darmo, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Ultrafast Probing of the Complex Refractive Index in an active Mid-Infrared Quantum Cascade Laser";
Vortrag: CLEO San Jose,
San Jose (eingeladen);
07.05.2008.
-
A. M. Andrews, P. Klang, A. Lugstein, M. Schramböck, M. Steinmair, Y.J. Hyun, E. Bertagnolli, C. Zauner, K. Unterrainer, W. Schrenk, G. Strasser:
"Growth of GaAs Whiskers by MBE on LPCVD Si(111) Nanowire Trunks";
Vortrag: CLEO/QELS,
San Jose, California, USA;
04.05.2008
- 09.05.2008.
-
G. Fasching, A. Benz, C. Deutsch, A. M. Andrews, K. Unterrainer, R. Zobl, P. Klang, W. Schrenk, V Tamosiunas:
"Controlled Coupling of Terahertz Lasing Modes in Microdisk Photonic Molecules";
Vortrag: CLEO/QELS and PhAST 2008,
San Jose McEnery Convention Center, California,USA;
04.05.2008
- 09.05.2008.
-
W. Parz, T. Müller, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Ultrafast Probing of the Complex Refractive Index in a Active Mid Infrared Quantum Cascade Laser";
Vortrag: CLEO/QELS and PhAST 2008,
San Jose McEnery Convention Center, California,USA (eingeladen);
04.05.2008
- 09.05.2008.
-
G. Fasching, A. Benz, C. Deutsch, K. Unterrainer, A.M. Andrews, P. Klang, R. Zobl, W. Schrenk, V. Tamosiunas:
"Microcavity quantum cascade lasers: Confinement and coupling in the terahertz spectral range";
Vortrag: IOCD Terahertz Seminar,
Santa Barbara, Californien, USA (eingeladen);
02.05.2008.
-
G. Fasching, A. Benz, C. Deutsch, R. Zobl, A.M. Andrews, P. Klang, W. Schrenk, K. Unterrainer, V Tamosiunas:
"Microcavity quantum-cascade lasers:Confinement and coupling in the terahertz spectral range";
Vortrag: Complex Quantum Systems,
Wien (eingeladen);
14.04.2008.
-
H. Dodt, N. Jährling, C. Mauch, K. Becker:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by ultramicroscopy";
Vortrag: SPIE Europe Photonics Europe Conference,
Strasbourg, France;
07.04.2008
- 10.04.2008.
-
F. Schnorrer, C. Schönbauer, C. Langer, N. Jährling, H. Dodt, B. Dickson:
"Muscle building in Drosophila";
Poster: 2nd Muenster graduate school meeting Molecular Cell Dynamics,
Münster, Deutschland;
02.04.2008
- 04.04.2008.
-
D. Andrijasevic, H. Detz, M. Austerer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"GaAs-Si Hybrid Quantum Cascade Lasers";
Vortrag: MRS Spring Meeting,
San Francisco, USA;
24.03.2008
- 28.03.2008.
-
G. Strasser, L. Hoffmann, S. Schartner, M. Austerer, E. Mujagic, M. Nobile, W. Schrenk, A. M. Andrews, P. Klang:
"Phase-locking in Y-coupled Quantum Cascade Lasers";
Vortrag: APS March Meeting,
New Orleans, USA;
10.03.2008
- 14.03.2008.
-
H. D. Wanzenböck:
"Prinzip der Direktabscheidung mit dem Elektronenstrahl";
Vortrag: NTS Kolloquium,
Oberkochen, Deutschland;
28.02.2008.
-
A. M. Andrews, P. Klang, A. Lugstein, M. Schramböck, R. Krzyzanowski, M. Steinmair, Y.J. Hyun, E. Bertagnolli, C. Zauner, K. Unterrainer, W. Schrenk, G. Strasser:
"Hierarchical Growth of GaAs Whiskers on Si Nanowires";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
18.02.2008
- 22.02.2008.
-
D. Andrijasevic, M. Austerer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Hybrid GaAs - Si Quantum Cascade Lasers";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
18.02.2008
- 22.02.2008.
-
L. Hoffmann, C. Hurni, S. Schartner, M. Austerer, E. Mujagic, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, G. Strasser:
"Coherently coupled mid-infrared Quantum Cascade Lasers";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
18.02.2008
- 22.02.2008.
-
P. Klang, A. M. Andrews, M. Schramböck, R. Krzyzanowski, W. Schrenk, G. Hesser, F. Schäffler, G. Strasser:
"Improving size distribution of InAs QDs grown by MBE";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
18.02.2008
- 22.02.2008.
-
G. Pozzovivo, S. Golka, G. Strasser, L. Nevou, N. Kheirodin, M. Tchernycheva, A. Lupu, F.H. Julien, F. Guillot, E. Monroy:
"Electro-Optical Modulator Based on GaN/AlN coupled Quantum Wells: Fabrication and Characterization";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
18.02.2008
- 22.02.2008.
-
M. Schramböck, P. Klang, A. M. Andrews, W. Schrenk, A. Lugstein, G. Strasser:
"Nanopatterning for the growth of self-assembled InAs QDs";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
18.02.2008
- 22.02.2008.
-
G. Fasching, A. Benz, C. Deutsch, A. M. Andrews, R. Zobl, P. Klang, W. Schrenk, K. Unterrainer, V Tamosiunas:
"Microcavity THz QCLs: From single -mode to molecule mode emission";
Vortrag: 4th ADLIS Workshop,
Obergurgl, Austria;
02.02.2008
- 03.02.2008.
-
L. Hoffmann, C. Hurni, S. Schartner, M. Austerer, E. Mujagic, M. Nobile, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Coherent coupling of mid-infrared Quantum Cascade Lasers";
Vortrag: Photonics West,
San Jose, California, USA;
19.01.2008
- 24.01.2008.
-
M. Schramböck, A. M. Andrews, P. Klang, M. Nobile, W. Schrenk, G. Strasser:
"Self-assembled InAs QDs for intersubband devices";
Poster: One Day Quantum dot Meeting,
The Blackett Laboratory, Imperial college, London, UK;
11.01.2008.
-
G. Strasser:
"Recent results on GaAs-based quantum cascade lasers";
Vortrag: 38th Colloquium on the Physics of Quantum Electronics (PQE),
Snowbird, USA (eingeladen);
06.01.2008
- 10.01.2008.
-
G. Strasser, L. Hoffmann, C. Hurni, E. Mujagic, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk:
"Y-coupled GaAs Quantum Cascade Lasers";
Vortrag: International Semiconductor Device Research Symposium (ISDRS),
Univ. Maryland, College Park, USA;
12.12.2007
- 14.12.2007.
-
L. Hoffmann:
"Quantenkaskadenlaser";
Vortrag: ARGE Sensorik/Infrarot,
Wien (eingeladen);
11.12.2007.
-
H. Dodt:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by chemical means";
Vortrag: Howard Hughes Medical Institute,
Washington, USA;
15.10.2007.
-
M. Heer, S. Bychikhin, W. Mamanee, D. Pogany, A. Heid, P. Grambach, M. Klaussner, W. Soppa, B. Ramler:
"Experimental and numerical analysis of current flow homogeneity in low voltage SOI multi-finger gg-NMOS and NPN ESD protection devices";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, Frankreich;
09.10.2007
- 12.10.2007.
-
S. Bychikhin, T. Swietlik, T. Suski, S. Porowski, P. Perlin, D. Pogany:
"Thermal Analysis of InGaN/GaN(GaN substrate) Laser Diodes using Transient Interferometric Mapping";
Poster: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, Frankreich;
08.10.2007
- 12.10.2007.
-
V. Dubec, S. Bychikhin, D. Pogany, E. Gornik, T. Brodbeck, W. Stadler:
"Backside Interferometric Methods for Localization of ESD-Induced Leakage Current and Metal Shorts";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, Frankreich;
08.10.2007
- 12.10.2007.
-
H. Dodt:
"The glass brain: Visualization of neuronal networks in the whole mouse brain and of mouse embryos by ultramicroscopy";
Vortrag: Max-Planck-Institut für Immunbiologie,
Freiburg, Deutschland;
17.09.2007.
-
S. Leconte, S. Golka, G. Pozzovivo, G. Strasser, E. Monroy:
"Bi-stable behaviour in GaN-based resonant tunneling diode structures";
Poster: Conference of Nitride Semiconductors (ICNS),
Las Vegas, USA;
16.09.2007
- 20.09.2007.
-
N. Kheirodin, L. Nevou, M. Tchernycheva, F.H. Julien, A. Lupu, P. Crozat, L. Meignien, E. Warde, G. Pozzovivo, S. Golka, G. Strasser, G. Guillot, E. Monroy, T. Remmele, M. Albrecht:
"Intersubband spectroscopy of electron tunneling in GaN/AlN coupled quantum wells";
Vortrag: ITQW07,
Ambleside, UK;
09.09.2007
- 14.09.2007.
-
W. Parz, T. Müller, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Time domain spectroscopy of quantum cascade lasers: Gain clamping, spectral narrowing and short pulse circulation";
Poster: ITQW07,
Ambleside, UK;
09.09.2007
- 14.09.2007.
-
T. Müller, W. Parz, T. Moldaschl, G. Strasser, K. Unterrainer:
"Femtosecond spectral hole burning spectroscopy as a probe of exciton dynamics dots";
Vortrag: 13th Int. Symposium on Ultrafast Phenomena in Semiconductors,
Vilnius, Lithuania (eingeladen);
26.08.2007
- 29.08.2007.
-
R. Nedzinskas, B. Cechavicius, J. Kavaliauskas, V. Karpus, G. Krivaite, V Tamosiunas, G. Valusis, F. Schrey, K. Unterrainer, G. Strasser:
"The energy spectrum of InAs quantum dots in GaAs/AlAs superlattices";
Poster: 13th Int. Symposium on Ultrafast Phenomena in Semiconductors,
Vilnius, Lithuania;
26.08.2007
- 29.08.2007.
-
H. Dodt, N. Jährling, C. Mauch, U. Leischner, A. Schierloh, M. Eder, W. Zieglgänsberger, K. Becker:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by ultramicroscopy";
Vortrag: Topical problems of Biophotonics,
Moscow, Russia;
04.08.2007
- 11.08.2007.
-
S. Özcan, J. Smoliner, A. M. Andrews, G. Strasser, T. Dienel, T. Fritz:
"Hot electron transport through titanylphthalocyanine films";
Vortrag: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Tokyo, Japan;
23.07.2007
- 27.07.2007.
-
P. Pongratz, G. Otto, G. Hobler, L. Palmetshofer:
"Analysis of Experimental TEM Image Contrast of Amorphous Pockets using Molecular Dynamics Computer Simulations aof Collision Cascades in Silicon";
Vortrag: ICDS -24, 24th International Conference on Defects in Semiconductors,
New Mexico, USA;
22.07.2007
- 27.07.2007.
-
S. Leconte, S. Golka, G. Pozzovivo, G. Strasser, E. Monroy:
"Bi-stable behaviour in AlN/GaN/AlN resonant tunneling diodes";
Poster: International Conference on Electronic Properties of Two-Dimensional Systems and Modulated Semiconductor Structures,
Genova, Italien;
15.07.2007
- 20.07.2007.
-
H. Dodt:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by ultramicroscopy";
Vortrag: Max-Planck-Institut für medizinische Forschung,
Heidelberg, Deutschland;
18.06.2007.
-
H. Dodt:
"Hirnforschung und Laserphysik: Auf dem Weg zum gläsernen Gehirn";
Vortrag: Zentrum für biomedizinische Technik und Physik,
Wien;
31.05.2007.
-
G. Fasching, A. Benz, J. Kröll, J. Darmo, C. Deutsch, A.M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz quantum-cascade lasers: micro cavity effects, doping dependence and loss";
Vortrag: Reunion du GDR europeen Semiconductor sources and detectors of THz radiation,
Bordeaux, France (eingeladen);
31.05.2007
- 03.06.2007.
-
A. M. Andrews, A. Benz, G. Fasching, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"Doping Dependence of THz Quantum-Cascade Lasers";
Vortrag: E-MRS Spring Meeting,
Strasbourg, France;
28.05.2007
- 01.06.2007.
-
S. Leconte, S. Golka, G. Pozzovivo, G. Strasser, E. Monroy:
"Bi-stable behaviour in GaN-based resonant tunnelling diode structures";
Vortrag: E-MRS Spring Meeting,
Strasbourg, Frankreich;
28.05.2007
- 01.06.2007.
-
A. Lugstein, A. M. Andrews, M. Steinmair, Y.J. Hyun, E. Bertagnolli, M. Weil, P. Pongratz, M. Schramböck, T. Roch, G. Strasser:
"Nanotrees with III-V branches on Si nanowire trunks: Growth of GaAs (001) nanowhiskers";
Vortrag: E-MRS Spring Meeting,
Strasbourg, France;
28.05.2007
- 01.06.2007.
-
M. Schramböck, A. M. Andrews, M. Nobile, P. Klang, W. Schrenk, G. Strasser:
"InAs/AlGaAs QDs for intersubband devices";
Poster: E-MRS Spring Meeting,
Strasbourg, Frankreich;
28.05.2007
- 01.06.2007.
-
N. Kheirodin, L. Nevou, M. Tchernycheva, F.H. Julien, P. Crozat, L. Meignien, E. Warde, G. Pozzovivo, S. Golka, G. Strasser, G. Guillot, E. Monroy, T. Remmele, M. Albrecht:
"Electro-optical intersubband modulation based on electron tunneling between GaN/AlN coupled quantum wells";
Vortrag: International Conference on Mid-Infrared Optoelectronics: Materials and Devices,
Bad Ischl;
14.05.2007
- 16.05.2007.
-
F. Schnorrer, G. Dietzl, N. Jährling, H. Dodt, B. Dickson:
"A Systematic genome-wide analysis of muscle morphogenesis and function in Drosophila";
Poster: Gordon Research Conferences on Myogenesis,
Il Ciocco, Italien;
13.05.2007
- 18.05.2007.
-
G. Fasching, A. Benz, A. M. Andrews, K. Unterrainer, R. Zobl, T. Roch, W. Schrenk, G. Strasser, V Tamosiunas:
"Limits of Strong Mode Confinement in Microdisk Terahertz Quantum-Cascade Lasers";
Poster: CLEO/QELS,
Baltimore, USA;
08.05.2007
- 10.05.2007.
-
H. Dodt, C. Auer, N. Jährling, M. Eder, C. Mauch, W. Zieglgänsberger, U. Leischner, K. Becker:
"Ultramicroscopy: A tool for imaging large preparations such as whole mouse brains";
Vortrag: FOM-Focus on Microscopy Conference,
Valencia, Spanien;
10.04.2007
- 13.04.2007.
-
M. Martl, J. Darmo, J. Kröll, E. Gornik:
"THz- TDS of surface plasmon polaritons on periodic metal arrays";
Vortrag: 1. THz Frischlinge - Meeting,
Freiburg, Germany (eingeladen);
01.04.2007
- 04.04.2007.
-
S. Özcan, J. Smoliner, A. M. Andrews, G. Strasser, R. Franke, T. Fritz:
"Ballistic Electron Transport trough on titanylphthalocyanine films"; Organic Thin Film Electronics: From Molecular Contacs to Devices";
Poster: DPG Tagung,
Regensburg, Deutschland;
25.03.2007
- 30.03.2007.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"III-V semiconductor nano-structures";
Vortrag: Vienna International Conference Micro- and Nanotechnology,
Wien;
14.03.2007
- 16.03.2007.
-
G. Strasser:
"Quantum Cascade lasers: from nanostructures to real devices";
Vortrag: Nano and Photonics,
Mauterndorf (eingeladen);
14.03.2007
- 16.03.2007.
-
A. M. Andrews, M. Schramböck, T. Roch, M. Austerer, W. Schrenk, G. Strasser:
"InAs QDs on AlxGa1-xAs Surfaces";
Poster: EURO-MBE Workshop,
Sierra Nevada, Spain;
05.03.2007
- 07.03.2007.
-
S. Schartner, S. Golka, M. Austerer, A. M. Andrews, W. Schrenk, G. Strasser:
"Polarization Dependent Band Structure Mapping in Photonic Crystal QWIPs";
Poster: Computional Nanophotonics WS,
Bad Honnef, Deutschland;
25.02.2007
- 28.02.2007.
-
W. Brezna:
"Photocurrent Imaging and Spectroscopy on GaAs/AlAs/InAs Heterostructures";
Vortrag: Infrared Optical Nanostructures workshop,
Bad Hofgastein;
31.01.2007
- 02.02.2007.
-
A. M. Andrews, M. Schramböck, P. Klang, M. Austerer, W. Schrenk, G. Strasser:
"InAs QDs for Intersubband Devices";
Vortrag: IRON Workshop,
Bad Hofgastein, Austria;
30.01.2007
- 31.01.2007.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"In-based Quantum Dots on AlxGa1-xAs Surfaces";
Poster: One Day Quantum dot Meeting,
Nottingham, UK;
19.01.2007.
-
F.F. Schrey, T. Müller, A.M. Andrews, T. Roch, G. Strasser, K. Unterrainer:
"Ultrafast spectroscopy of QD structures for mid-infrared and THz applications";
Vortrag: SANDIE Optics Group Meeting,
Technical University Berlin, Berlin , Germany;
11.01.2007
- 12.01.2007.
-
M. Heer, V. Dubec, S. Bychikhin, D. Pogany, E. Gornik, M. Frank, A. Konrad, J. Schulz:
"Analysis of triggering behaviour of high voltage CMOS LDMOS clamps and SCRs during ESD induced latch-up";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Wuppertal, Deutschland;
03.10.2006
- 06.10.2006.
-
A. Lugstein, C. Schöndorfer, E. Bertagnolli:
"Synthesis of nanowires in room temperature ambient with a focused ion beam";
Vortrag: International Conference on Ion Beam Modification of Materials (IBMM),
Taormina, Italy;
18.09.2006
- 22.09.2006.
-
S. Winnerl, D. Stehr, O. Drachenko, H. Schneider, M. Helm, W. Seidel, P. Michel, S. Schneider, J. Seidel, S. Grafström, L. Eng, T. Roch, G. Strasser, T. Maier, M. Walther:
"FELBE Free-Electron Laser: Status and Application for Time Resolved Spectroscopy Experiments";
Vortrag: Joint 31st International Conference on Infrared and Millimeter Waves and 14th International Conference on Terahertz Electronics (IRMMW-THz 2006),
Shanhai, China (eingeladen);
18.09.2006
- 22.09.2006.
-
J. Kuzmik, S. Bychikhin, D. Pogany:
"Status and prospects in InAlN/(In)GaN HEMTs and nanosecond thermal analysis of III-Nitride devices";
Vortrag: European Microwave Week (EUMW),
Manchester, UK;
14.09.2006.
-
D. Pogany, J. Kuzmik, S. Bychikhin:
"Thermal characterization of high frequency three and two terminal devices";
Vortrag: European Microwave Week (EUMW),
Manchester, UK (eingeladen);
10.09.2006.
-
G. Strasser:
"Linear and nonlinear effects in quantum cascade lasers";
Vortrag: NATO Workshop Terahertz sensing and security applications,
Thessaloniki, Greece (eingeladen);
10.09.2006
- 13.09.2006.
-
P. Pongratz, G. Koblmüller, H. Riechert, Y.J. Hyun, J. Speck, R. Averbeck:
"TEM analysis of dislocations in AIN and GaN heterostructures grown on saphhire and SiC gy plasma assisted MBE";
Vortrag: DIAMOND 2006 - 17th European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes, and Nitrides,
Estoril, Portugal;
03.09.2006
- 08.09.2006.
-
G. Pozzovivo, S. Golka, K. Cico, J. Kuzmik, W. Schrenk, G. Strasser, D. Pogany:
"Technology of InAlN/(In)GaN - based HEMT“s";
Poster: Monte Verita“summer School, Wide-banbgap semiconductor quantum structure,
Switzerland;
27.08.2006
- 01.09.2006.
-
S. Özcan, T. Roch, G. Strasser, J. Smoliner, R. Franke, T. Fritz:
"Ballistic Electron Emission Microscopy/Spectroscopy on Au/Titanylphthalocyanine/GaAs Heterostructures";
Poster: International Conference on Nanoscience and Technology (ICNT),
Basel Switzerland;
30.07.2006
- 04.08.2006.
-
C. Schöndorfer, A. Lugstein, L. Bischoff, H.Y. Joo, P. Pongratz, E. Bertagnolli:
"A new metallic nanowire growth technique utilizing focused ion beams";
Poster: ICPS 2006 - 28th International Confeference on the Physics of Semiconductors,
Vienna;
24.07.2006
- 28.07.2006.
-
G. Hobler:
"Simulation von Topographie- und Materialmodifikation mittels fokussierter Ionenstrahlen";
Vortrag: Seminar Institut für Allgemeine Physik (IAP),
TU Wien;
19.06.2006.
Zusätzliche Informationen
-
G. Otto, G. Hobler, L. Palmetshofer, P. Pongratz:
"Comparison of TEM image contrast simulations of amorphous pockets in Si as obtained by molecular dynamics simulations with experimental results";
Vortrag: 8th Intern. Conf. on Computer Simulation of Radiation Effects in Solids (COSIRES 2006),
Richland, Washington, USA;
18.06.2006
- 23.06.2006.
-
G. Otto, G. Hobler, L. Palmetshofer, P. Pongratz:
"Verification of MD Results on Amorphous Pockets in Si using TEM Image Contrast Simulations";
Vortrag: 8th Intern. Conf. on Computer Simulation of Radiation Effects in Solids (COSIRES 2006),
Richland, Washington, USA;
18.06.2006
- 23.06.2006.
-
T. Müller, F. Schrey, G. Fasching, J. Darmo, J. Kröll, W. Parz, K. Unterrainer, A.M. Andrews, T. Roch, W. Schrenk:
"Generation and application of THz radiation in semiconductor nanostructures";
Vortrag: European Materials Research Society Spring Meeting,
Nice, France (eingeladen);
29.05.2006
- 02.06.2006.
-
C. Pflügl, M. Austerer, S. Golka, S. Schartner, W. Schrenk, G. Strasser:
"Nonlinear Light Generation in Quantum Cascade Lasers";
Vortrag: Pontificia Universidade Católica do Rio de Janeiro,
Rio de Janeiro, Brazil (eingeladen);
23.05.2006.
-
G. Fasching, A.M. Andrews, A. Benz, K. Unterrainer, R. Zobl, T. Roch, W. Schrenk, G. Strasser, V Tamosiunas:
"Sub-wavelength terahertz quantum-cascade laser resonators";
Vortrag: CLEO/QELS and PhAST 2006,
Long Beach California, USA;
21.05.2006
- 26.05.2006.
-
T. Müller, G. Strasser, K. Unterrainer:
"Exciton spin relaxation in semiconductor quantum dots";
Poster: CLEO/QELS and PhAST 2006,
Long Beach California, USA;
21.05.2006
- 26.05.2006.
-
S. Özcan, T. Roch, G. Strasser, R. Franke, T. Fritz:
"Ballistic Electron Emission Microscopy/Spectroscopy on Au/Titanylphthalocyanine/GaAs Heterostructures";
Vortrag: Infrared Optical Nanostructures workshop,
Wien;
12.05.2006.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, M. Austerer, C. Schöndorfer, G. Strasser, M. Kast:
"Alignment and growth of III-V semiconductor nano-structures";
Vortrag: Infrared Optical Nanostructures workshop,
Wien;
12.05.2006.
-
A. Lugstein, E. Bertagnolli:
"A Novel, Focused Ion Beam Directed Route for the Local Synthesis of Nanowires at Room Temperature";
Vortrag: Materials Research Society Fall Meeting (MRS),
Boston, USA (eingeladen);
28.11.2005
- 02.12.2005.
-
J. Smoliner, D. Rakoczy, R. Heer, H. Brückl:
"Ballistic Electron Transport in Spin Valve Transistors and Cross Sectional Geometries";
Vortrag: International Conference on New Phenomena in Mesoscopic Structures,
Hawaii;
28.11.2005
- 02.12.2005.
-
G. Fasching, A. Benz, J. Kröll, J. Darmo, V Tamosiunas, R. Zobl, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser, K. Unterrainer:
"Design and applications of THz quantum-cascade lasers";
Vortrag: Montanuniversität Leoben,
Leoben, Austria (eingeladen);
10.11.2005.
-
J. Smoliner:
"Quantitative Scanning Capacitance Spectroscopy";
Vortrag: Infineon Workshop on Scanning Probe Microscopy and Related Techniques,
Villach, Österreich;
10.11.2005.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Local probe studies of nanostructures - XBEEM on Heterostructures";
Vortrag: Infrared Optical Nanostructures workshop,
Bad Ischl, Österreich;
03.11.2005
- 04.11.2005.
-
M. Schramböck, W. Schrenk, T. Roch, A. M. Andrews, M. Austerer, A. Lugstein, G. Strasser:
"Growth and processing of self-assembled nano structures";
Vortrag: Infrared Optical Nanostructures workshop,
Bad Ischl, Österreich;
03.11.2005
- 04.11.2005.
-
M. Fischer, J. Gottsbachner, S. Müller, E. Bertagnolli, H. D. Wanzenböck:
"Electron Beam Induced Processes for Repairing Defects on Quartz Masks";
Vortrag: Symposium of the American Vacuum Society,
Boston, USA;
30.10.2005
- 04.11.2005.
-
H. D. Wanzenböck, K. Dominizi, P. Hagl, E. Bertagnolli, e. Bogner, M. Wirth, F. Gabor:
"Electrical Monitoring of Cell Interaction on a Microelectronic Interface";
Poster: Symposium of the American Vacuum Society,
Boston, USA;
30.10.2005
- 04.11.2005.
-
H. D. Wanzenböck, M. Fischer, E. Bertagnolli:
"Custom-design of Optical Thin Films of Silicon Oxide by Direct Write Deposition";
Vortrag: Symposium of the American Vacuum Society,
Boston, USA;
30.10.2005
- 04.11.2005.
-
H. D. Wanzenböck, M. Fischer, S. Müller, J. Gottsbachner, W. Brezna, M. Schramböck, A. Tomasik, H. Störi, H. Hutter:
"Local Nanodeposition of Oxides with Focused Beams - Improving Material and Interface Quality";
Poster: Symposium of the American Vacuum Society,
Boston, USA;
30.10.2005
- 04.11.2005.
-
H. D. Wanzenböck, P. Hagl, K. Dominizi, E. Bertagnolli:
"Microelectronic Multielectrode Interface for Evaluation of Living Cells";
Vortrag: Symposium of the American Vacuum Society,
Boston, USA;
30.10.2005
- 04.11.2005.
-
C. Pflügl, S. Golka, M. Austerer, W. Schrenk, S. Schartner, G. Strasser:
"Single mode quantum cascade lasers";
Vortrag: Optics East 2005,
Boston, USA;
23.10.2005
- 26.10.2005.
-
M. Fischer, J. Gottsbachner, S. Müller, W. Brezna, M. Schramböck, H. D. Wanzenböck, E. Bertagnolli:
"Local FEB-CVD of Nanostructures";
Vortrag: Meeting of the Electrochemical Society (ECS),
Los Angeles, California, USA;
16.10.2005
- 21.10.2005.
-
M. Heer, V. Dubec, M. Blaho, S. Bychikhin, D. Pogany, E. Gornik, M. Denison, M. Stecher, G. Groos:
"Automated setup for thermal imaging and electrical degradation study of power DMOS devices";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon France;
10.10.2005
- 14.10.2005.
-
C. Pflügl, M. Austerer, S. Golka, S. Schartner, W. Schrenk, M. Schramböck, T. Roch, A. M. Andrews, G. Strasser:
"Second Harmonic generation in Quantum cascade lasers";
Vortrag: NMR EU Projekt FW6 ANSWER workshop,
Wien, Österreich;
07.10.2005.
-
A. Benz, G. Fasching, K. Unterrainer, R. Zobl, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Quantum-Mechanical designed Terahertz Laser";
Poster: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien;
27.09.2005
- 29.09.2005.
-
C. Schöndorfer, A. Lugstein, E. Bertagnolli:
"Nanostrukturierung mit Hilfe fokussierter Ionenstrahlen";
Vortrag: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien, Österreich;
27.09.2005
- 29.09.2005.
-
H. D. Wanzenböck, M. Fischer, J. Gottsbachner, S. Müller, E. Bertagnolli:
"Electron-induced Reactions on Solid Surfaces";
Vortrag: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien, Österreich;
27.09.2005
- 29.09.2005.
-
S. Abermann, W. Brezna, J. Smoliner, E. Bertagnolli:
"Nanoscopic versus macroscopic C-V characterization of high-k - MOCVD ZrO2 thin films";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
19.09.2005
- 22.09.2005.
-
S. Abermann, C. Jordan, M. Harasek, E. Bertagnolli:
"Processing and simulation of few nm thick high-k dielectric films";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
19.09.2005
- 22.09.2005.
-
C. Schöndorfer, E. Auer, M. Steinmair, A. Lugstein, E. Bertagnolli:
"Focused Ion Beam induced Nanodot and Nanowire Growth";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
19.09.2005
- 22.09.2005.
-
T. Roch, A. M. Andrews, G. Fasching, A. Benz, W. Schrenk, M. Schramböck, K. Unterrainer, G. Strasser:
"High resolution x-rax analysis of III-V optoelectronic nanostructures";
Poster: Autumn School X-ray scattering from surfaces and thin layers,
Smolenice, Slovakia;
18.09.2005
- 21.09.2005.
-
M. Austerer, C. Pflügl, W. Schrenk, S. Golka, R. Green, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, G. Strasser:
"MOVPE and MBE grown surface emitting quantum cascade lasers";
Vortrag: 8th International Conference on Intersubband Transitions in Quantum Wells,
Cape Cod, USA;
11.09.2005
- 16.09.2005.
-
S. Golka, S. Schartner, M. Austerer, C. Pflügl, W. Schrenk, G. Strasser:
"Deep etching of waveguiding structures in intersubband devices";
Poster: 8th International Conference on Intersubband Transitions in Quantum Wells,
Cape Cod, USA;
11.09.2005
- 16.09.2005.
-
O. Moutanabbir, B. Terreault, M. Chicoinec, J. Simpson, T. Zahel, G. Hobler:
"Hydrogen/Deuterium-defect complexes involved in the ion-cutting of Si(001) at the sub-100 nm scale";
Vortrag: International Conference on Defects in Semiconductors,
Awaji Island, Hyogo, Japan (eingeladen);
24.07.2005
- 29.07.2005.
-
A.M. Andrews, G. Fasching, T. Roch, W. Schrenk, A. Benz, R. Zobl, G. Strasser, K. Unterrainer:
"Growth and characterization of epitaxial structures for GaAs-based THz quantum cascade lasers";
Vortrag: 35th Workshop: Physics and Technology of THz Photonics,
Erice, Italy;
20.07.2005
- 26.07.2005.
-
W. Brezna:
"Mapping of Local Oxide Properties by Quantitative Scanning Capacitance Spectroscopy";
Vortrag: International Conference on Scanning Tunneling Microscopy/Spectroscopy and Related Techniques (STM),
Sapporo, Japan;
03.07.2005
- 08.07.2005.
-
J. Smoliner:
"Cross Sectional Ballistic Electron Emission Microscopy for Schottky Barrier Height Profiling on Heterostructures";
Vortrag: International Conference on Scanning Tunneling Microscopy/Spectroscopy and Related Techniques (STM),
Sapporo, Japan (eingeladen);
03.07.2005
- 08.07.2005.
-
R. Heer, J. Smoliner, J. Bornemeier, E. Brückl:
"Ballistic Electron Transport in Spin Valve Transistors Studied by Scanning Tunneling Microscopy Techniques";
Vortrag: 47th Annual TMS Electronic Materials Conference,
Santa Barbara, California, USA;
22.06.2005
- 24.06.2005.
-
J. Darmo, J. Kröll, G. Fasching, A. Benz, K. Unterrainer, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Active photonics structures for terhaertz frequencies";
Vortrag: 11th International Workshop on Applied Physics of Condensed Matter,
Mala Lucivna, Slovakia;
15.06.2005
- 17.06.2005.
-
G. Hobler, G. Kresse:
"Ab-initio calculations of the interaction between native point defects in silicon";
Vortrag: Materials Research Society Spring Meeting (MRS),
Straßburg, Frankreich;
30.05.2005
- 03.06.2005.
-
C. Pflügl:
"Quantum design of semiconductor lasers";
Vortrag: NanoForum 2005,
Linz, Österreich (eingeladen);
26.05.2005
- 27.05.2005.
-
W. Schrenk, C. Pflügl, M. Austerer, S. Golka, S. Schartner, M. Schramböck, T. Roch, A. M. Andrews, G. Strasser:
"InAs self organised quantum dots on GaAs";
Vortrag: NMR EU Projekt FW6 ANSWER workshop,
Freiburg, Deutschland;
07.03.2005.
-
M. Austerer, C. Pflügl, S. Golka, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser:
"Progress on Quantum Cascade Lasers";
Vortrag: ADLIS workshop,
Traunstein, Österreich;
28.02.2005
- 01.03.2005.
-
F. Schrey, T. Müller, G. Fasching, G. Strasser, K. Unterrainer:
"Quantum dot spectroscopy";
Vortrag: ADLIS workshop,
Traunstein, Austria;
28.02.2005
- 01.03.2005.
-
G. Fasching, R. Zobl, V Tamosiunas, T. Roch, A.M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz-Laser";
Vortrag: ADLIS workshop,
Traunkirchen, Austria;
27.02.2005
- 01.03.2005.
-
T. Roch, A. M. Andrews, W. Schrenk, M. Schramböck, G. Strasser:
"Growth of optical nanostructures";
Vortrag: ADLIS workshop,
Traunkirchen, Österreich;
27.02.2005
- 01.03.2005.
-
S. Harasek, S. Abermann, W. Brezna, J. Smoliner, E. Bertagnolli:
"MOCVD of zirconium-oxide thin films for High-K dielectrica";
Poster: SINANO-NANOCMOS Meeting,
Grenoble, Frankreich;
26.01.2005
- 28.01.2005.
-
M. Austerer, C. Pflügl, A. M. Andrews, W. Schrenk, T. Roch, T. Müller, K. Unterrainer, G. Strasser:
"Intraband emission of quantum dot cascade structures";
Vortrag: SANDIE Optics Group Meeting,
Berlin, Deutschland;
13.01.2005
- 14.01.2005.
-
G. Fasching, F. Schrey, W. Brezna, T. Roch, A.M. Andrews, W. Schrenk, J. Smoliner, G. Strasser, K. Unterrainer:
"Photoconductivity response of QDs in the MIR/NIR";
Vortrag: SANDIE task force meeting,
Berlin, Germany;
13.01.2005
- 14.01.2005.
-
H. D. Wanzenböck:
"HERO - High Energy Electron-induced Reaction for Depositiion of 3-D Objects";
Vortrag: EU Partnering Day,
Geneva, Schweiz;
11.01.2005.
-
H. D. Wanzenböck:
"SUSI - SUrface engineered Smart Instrumentation";
Vortrag: EU Partnering Day,
Geneva, Schweiz;
11.01.2005.
-
A.M. Andrews, G. Fasching, T. Roch, W. Schrenk, A. Benz, R. Zobl, G. Strasser, K. Unterrainer:
"Crosshatch surface morphology in lattice mismatched films";
Vortrag: Seminar Universität Regensburg,
Regensburg, Deutschland (eingeladen);
10.01.2005.
-
G. Strasser:
"Surface emitting quantum cascade lasers";
Vortrag: 35th Colloquium on the Physics of Quantum Electronics (PQE),
Snwobird, USA (eingeladen);
02.01.2005
- 06.01.2005.
-
G. Strasser:
"High Power Single Mode Surface Emission of Quantum Cascade Lasers";
Vortrag: Semiconductor Physics Institute,
Vilnius, Germany (eingeladen);
26.11.2004.
-
A. M. Andrews, C. Pflügl, M. Austerer, T. Roch, W. Schrenk, M. Kast, G. Strasser:
"High performance GaAs-based Quantum Cascade Lasers";
Vortrag: North American Conf. on Molecular Beam Epitaxy (NAMBE),
Banff, Canada;
10.10.2004
- 14.10.2004.
-
S. Bychikhin, V. Dubec, D. Pogany, E. Gornik, M. Graf, V. Dudek, W. Soppa:
"Transient interferometric mapping of smart power SOI ESD protection devices under TLP and vf-TLP stress";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Zürich, Schweiz;
04.10.2004
- 08.10.2004.
-
V. Dubec, S. Bychikhin, M. Blaho, M. Heer, D. Pogany, E. Gornik, M. Denison, N. Jensen, M. Stecher, G. Groos:
"Multiple-time-instant 2D thermal mapping during a single ESD event";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Zürich, Schweiz;
04.10.2004
- 08.10.2004.
-
A. Lugstein, M. Weil, E. Bertagnolli:
"Advanced nanopattern formation by a subtractive self organization process with Focused Ion Beams";
Poster: European FIB User Group Meeting,
Dübendorf, Schweiz;
01.10.2004.
-
G. Pillwein, G. Brunthaler, G. Strasser:
"Leitwertfluktuation im Coulomb Blockade Regime von AlGaAs Quantenpunkten";
Poster: 54. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Linz, Austria;
28.09.2004
- 30.09.2004.
-
T. Roch, W. Schrenk, F. Schrey, K. Unterrainer, G. Strasser:
"X-ray investigation of quantum well intermixing after postgrowth rapid thermal processing";
Poster: 7th Biennial Conference on High Resolution X-Ray Diffraction and Imaging (XTOP),
Prag, Czech Republic;
07.09.2004
- 10.09.2004.
-
A. Lugstein, B. Basnar, W. Brezna, M. Weil, S. Golka, E. Bertagnolli:
"Advanced nanopattern formation by a subtractive self organization process with Focused Ion Beams";
Poster: International Conference on Ion Beam Modification of Materials (IBMM),
Monterey, California, USA;
05.09.2004
- 10.09.2004.
-
V Tamosiunas, S Anders, T. Müller, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Lasers: From quantum wells to quantum boxes";
Vortrag: Fundamental Optical Prosesses in Semiconductors (FOPS),
Estes Park, USA;
08.08.2004
- 13.08.2004.
-
W. Brezna, S. Harasek, A. Lugstein, T. Leitner, H. Hoffmann, E. Bertagnolli, J. Smoliner:
"Quantitative Scanning Capacitanc Spectroscopy";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, Arizona, USA (eingeladen);
26.07.2004
- 30.07.2004.
-
M. Coquelin, C Pacher, M. Kast, G. Strasser, E. Gornik:
"Interlevel crossing in double period superlattices";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
26.07.2004
- 30.07.2004.
-
J.N. Heyman, N Coates, A Reinhardt, G. Strasser:
"Ultrafast THz measurements of Hot Carrier Diffusion and Drift in Semiconductors";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
26.07.2004
- 30.07.2004.
-
M. Kast, W Boxleitner, G. Strasser, E. Gornik:
"High-resolution ballistic electron spectroscopy in parallel magnetic fields";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
26.07.2004
- 30.07.2004.
-
C. Pflügl, M. Austerer, W. Schrenk, T. Roch, S. Anders, G. Strasser:
"Surface emitting quantum cascade laser";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
26.07.2004
- 30.07.2004.
-
C. Pflügl, W. Schrenk, M. Kast, T. Roch, K. Unterrainer, G. Strasser:
"Utilizing quantum dots in unipolar intraband emitters";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
26.07.2004
- 30.07.2004.
-
G. Pillwein, G. Brunthaler, G. Strasser:
"Conductance Fluctuations in the Coulomb Blockade Regime in AlGaAs Quantum Dots";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
26.07.2004
- 30.07.2004.
-
F. Schrey, G. Fasching, T. Müller, G. Strasser, K. Unterrainer:
"Ultrafast intersublevel spectroscopy of a single quantum dot";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
26.07.2004
- 30.07.2004.
-
V Tamosiunas, R. Zobl, G. Fasching, T. Müller, J. Darmo, J. Kröll, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, K. West, L. Pfeiffer, F. Capasso:
"Field Control of THz Quantum Cascade Lasers";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
26.07.2004
- 30.07.2004.
-
W. Brezna, M. Schramböck, A. Lugstein, S. Harasek, H. Enichlmair, E. Bertagnolli, E. Gornik, J. Smoliner:
"Quantitative Scanning Capacitance Sppecroscopy";
Vortrag: 8th Int. Conference on Nanometer Scale Science and Technology,
Venice, Italy;
29.06.2004
- 01.07.2004.
-
A. Lugstein, B. Basnar, M. Weil, J. Smoliner, E. Bertagnolli:
"Advanced nanoscale material processing with focused ion beams";
Vortrag: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
San Diego;
01.06.2004
- 04.06.2004.
-
A. Lugstein, B. Basnar, E. Bertagnolli:
"Metallic nanodots realized by a subtractive self organization process";
Vortrag: European Materials Research Society (EMRS),
Strassbourg, France;
24.05.2004
- 28.05.2004.
-
G. Strasser:
"Design, growth and processing of GaAs-based Quantum Cascade Lasers";
Vortrag: Int. Conf. on Solid State Crystals & 7th Polish Conf. on Crystal Growth,
Zakopane, Poland (eingeladen);
16.05.2004
- 20.05.2004.
-
C. Kranz, A. Kueng, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"AFM-Tip Integrated Electrochmical Sensors - Simulaneous Electrochemical and AFM Imaging in Dynamic Mode Operation";
Vortrag: 205th Meeting of the Electrochemical Society,
San Antonio, TX;
09.05.2004
- 13.05.2004.
-
H. D. Wanzenböck:
"Electron beam systems - Nanotechnology and Applications in Semiconductor Technology";
Vortrag: Leo GEMINI Meeting,
Oberkochen, Germany (eingeladen);
04.05.2004
- 05.05.2004.
-
J. Darmo, J. Kröll, G. Strasser, K. Unterrainer:
"Linear spectroscopy and imgaging with compact Terahertz sources";
Vortrag: Terahertz Workshop 2004 Technology and Application,
Freiburg, Germany (eingeladen);
17.02.2004.
-
C. Pflügl, M. Austerer, W. Schrenk, T. Roch, G. Strasser:
"Bound-to-Continuum GaAs/AlGaAs Quantum Cascade Laser";
Poster: 13th Int. Winterschool on New Developments in Solid State Physics,
Mauterndorf, Österreich;
15.02.2004
- 20.02.2004.
-
G. Pillwein, G. Brunthaler, G. Strasser:
"Fabrication and Characterisation of Lateral Quantum Dots in GaAs/AlGaAs Heterostructures";
Poster: 13th Int. Winterschool on New Developments in Solid State Physics,
Mauterndorf, Österreich;
15.02.2004
- 20.02.2004.
-
C. Pflügl:
"Quantum Dot Intraband Emission";
Vortrag: Workshop on THz Electronics and Quantum Heterostructures,
Regensburg, Deutschland (eingeladen);
05.02.2004.
-
C. Kranz, A. Kueng, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"AFM Tip Integrated Electrochemical Biosensors for Simultaneous Topographical and Activity Mapping of Soft Samples";
Vortrag: Annual Linz Winter Workshop on Scanning Probe Microscopy,
Linz, Austria;
31.01.2004
- 02.02.2004.
-
J. Darmo, J. Kröll, T. Müller, G. Strasser, K. Unterrainer:
"Cavity enhanced THz generation";
Vortrag: Terahertz and Gigahertz Electronics and Photonics III, part of the Photoncs West Symposium Optoelectronics 2004: Integrated Optoelectronics Devices,
San Jose, USA (eingeladen);
24.01.2004
- 29.01.2004.
-
A. Lugstein, B. Basnar, G. Strasser, E. Bertagnolli:
"A new approach fot the formation of size and site controlled metallic nano dots seeded by focused ion beams";
Poster: Materials Research Society Fall Meeting (MRS),
Boston, USA;
01.12.2003
- 05.12.2003.
-
F. Schrey, T. Müller, G. Strasser, K. Unterrainer:
"THz Manipulation of Excitonic Levels in Single InAs Quantum Dots";
Vortrag: Materials Research Society Fall Meeting (MRS),
Boston, USA;
01.12.2003
- 05.12.2003.
-
T. Müller:
"Electron dynamics in semiconductor quantum dots";
Vortrag: Workshop on Carged-Particle Transport through Nanostructures and Solids,
Debrecen, Hungary (eingeladen);
14.11.2003
- 16.11.2003.
-
V. Dubec, S. Bychikhin, M. Blaho, D. Pogany, E. Gornik, J. Willemen, N. Qu, W. Wilkening, L. Zullino, A. Andreini:
"A dual-beam Michelson interferometer for investigation of trigger dynamics in ESD protection devices under very fast TLP stress";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, France;
06.10.2003
- 10.10.2003.
-
D. Pogany, S. Bychikhin, C. Pflügl, V. Dubec, J. Kuzmik, M. Blaho, M. Litzenberger, G. Strasser, E. Gornik:
"Thermal mapping of semiconductor devices with nanosecond resolution";
Vortrag: European Microwave week 2003, GAAS conference,
München, Deutschland (eingeladen);
06.10.2003
- 10.10.2003.
-
J. Darmo, J. Kröll, G. Strasser, C. Kremser, K. Unterrainer:
"Few-cycle THz properties of bio-molecules";
Vortrag: 11th International Conference of Terahertz Electronics,
Sendai, Japan;
24.09.2003
- 26.09.2003.
-
J. Darmo, G. Strasser, T. Roch, T. Müller, K. Unterrainer, G. Tempea:
"New generation photoconductive few-cycle terahertz emitters";
Vortrag: 11th International Conference of Terahertz Electronics,
Sendai, Japan;
24.09.2003
- 26.09.2003.
-
F. F. Schrey, G. Fasching, T. Müller, K. Unterrainer, G. Strasser:
"THz/MIR Manipulation of Excitonic levels in InAs Quantum Dots";
Vortrag: 7th International Conference on Optics of Excitons in Confined Systems (OECS8),
Lecce, Italien;
15.09.2003
- 17.09.2003.
-
T. Müller, W. Parz, G. Strasser, K. Unterrainer:
"Quantum Interfernce of Intesubband Transitions in GaAs/AlGaAs Quantum Wells";
Vortrag: ITQW,
Evolène, Switzerland;
01.09.2003
- 05.09.2003.
-
T. Müller, F. F. Schrey, G. Strasser, K. Unterrainer:
"Electron Capture and Relaxation in InAs/GaAs Self-Assembled Quantum Dots";
Poster: ITQW,
Evolène, Switzerland;
01.09.2003
- 05.09.2003.
-
C. Pflügl, W. Schrenk, S. Anders, G. Strasser:
"Quantum dot intersubband emitter";
Vortrag: ITQW,
Evolène, Switzerland;
01.09.2003
- 05.09.2003.
-
W. Schrenk, S. Anders, T. Roch, C. Pflügl, G. Strasser:
"Wavelength adjustment of quantum cascade lasers by rapid thermal annealing";
Poster: ITQW,
Evolène, Switzerland;
01.09.2003
- 05.09.2003.
-
W. Schrenk, C. Pflügl, S. Anders, C. Becker, C. Sirtori, G. Strasser:
"High temperature performance of GaAs/AlGaAs quantum cascade lasers";
Vortrag: ITQW,
Evolène, Switzerland;
01.09.2003
- 05.09.2003.
-
V. Tamosiunas, R. Zobl, G. Fasching, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, K. West, F. Capasso:
"Magnetic Quantization in Terahertz Quantum Cascade Lasers";
Vortrag: ITQW,
Evolène, Switzerland;
01.09.2003
- 05.09.2003.
-
T. Müller, W. Parz, G. Strasser, K. Unterrainer:
"Intraband relaxation of photoexcited electrons in GaAs/AlGaAs quantum wells and InAs/GaAs selfassambled quantum dots";
Vortrag: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
28.07.2003
- 01.08.2003.
-
C. Pacher, M. Kast, G. Strasser, E. Gornik:
"Determination of the GaAs/AlAs/GaAs band structure and the intervalley scattering rates by ballistic electron spectroscopy";
Poster: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
28.07.2003
- 01.08.2003.
-
D. Rakoczy, G. Strasser, C. Pacher, J. Smoliner:
"Ballistic Electron Microsocpy on Doped AlAs Barriers in the Regime of the Mott Transition";
Poster: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
28.07.2003
- 01.08.2003.
-
F. Rizzi, G. Scamarcio, G. Strasser:
"Three-terminal mid-IR tunable emitters based on Wannier-Stark ladder transitions in semiconductor superlattices";
Vortrag: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
28.07.2003
- 01.08.2003.
-
V. Spagnolo, G. Scamarcio, W. Schrenk, G. Strasser:
"Influence ot the bandoffset on the electronic temperature of GaAs/Al(Ga)As superlattice quantum cascade lasers";
Poster: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
28.07.2003
- 01.08.2003.
-
V. Tamosiunas, R. Zobl, G. Fasching, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, F. Capasso, K. West, L. Pfeiffer:
"Magnetic Field Effects in Terahertz Quantum Cascade Lasers";
Vortrag: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
28.07.2003
- 01.08.2003.
-
M. Kast, W. Boxleitner, C. Pacher, G. Strasser, E. Gornik:
"Magnetic field controlled scattering processes in GaAs";
Poster: 11th International Conference on Modulated Semiconductor Structures (MSS11),
Nara, Japan;
14.07.2003
- 18.07.2003.
-
M. Kast, C. Pacher, G. Strasser, E. Gornik:
"Ballistic Electron Spectroscopy of Wannier-Stark states in short period superlattices";
Poster: 15th International Conference on Electronic Properties of 2-Dimensional Systems (EP2DS-15),
Nara, Japan;
14.07.2003
- 18.07.2003.
-
C. Pacher, M. Kast, E. Gornik:
"Adjusting the coherent transport and tunneling time in finite periodic superlattices";
Poster: 11th International Conference on Modulated Semiconductor Structures (MSS11),
Nara, Japan;
14.07.2003
- 18.07.2003.
-
W. Schrenk, S. Anders, C. Pflügl, E. Gornik, G. Strasser:
"Quantum cascade structures and unipolar dot emitters";
Vortrag: 11th International Conference on Modulated Semiconductor Structures (MSS11),
Nara, Japan;
14.07.2003
- 18.07.2003.
-
F. F. Schrey, T. Müller, S. Anders, L. Rebohle, W. Schrenk, K. Unterrainer, G. Strasser:
"Dynamics between electronic levels in InAs quantum dots";
Poster: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Nara, Japan;
14.07.2003
- 18.07.2003.
-
T. Müller, F. F. Schrey, G. Strasser, K. Unterrainer:
"Interband pump - intraband probe spectroscopy of quantum dots";
Poster: International conference on Ultrafast Optics (UFO IV.),
Wien, Österreich;
29.06.2003
- 03.07.2003.
-
J. Darmo, J. Kröll, G. Strasser, K. Unterrainer, C. Kremser:
"Spectroscopy and imaging with few-cycle Terahertz radiation";
Vortrag: International Workshop on Applied Physics of Condensed Matter (APCOM) 2003,
Mala Lucivna, Slovakia;
11.06.2003
- 13.06.2003.
-
K. Unterrainer:
"Few-cycle terahertz generation and spectroscopy of nanostructures";
Vortrag: Royal Society Meeting "The therahertz gap: the generation of far-infrared radiation and its applications,
London, UK (eingeladen);
04.06.2003
- 05.06.2003.
-
K. Unterrainer, J. Darmo, T. Müller, G. Strasser, G. Tempea, T. Le, A. Stingl:
"Cavity enhanced few-cycle THz generation and coherent spectroscopy";
Vortrag: CLEO 2003,
Baltimore, Maryland (eingeladen);
01.06.2003
- 06.06.2003.
-
M. Kast:
"Current spectroscopy of quantum states in semiconductor superlattices";
Vortrag: 38th IUVSTA Workshop on Electronic Processes and Sensing on the Nano-Scale,
Elat, Israel;
25.05.2003
- 29.05.2003.
-
J. Kuzmik, D. Pogany, M. Blaho, E. Gornik, P. Javorka, M. Marso, P. Kordos:
"Breakdown and degradation processes in AlGaN/GaN HEMTs during electrostatic discharge";
Vortrag: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Fürigen, Switzerland;
25.05.2003
- 28.05.2003.
-
E. Gornik, W. Schrenk, G. Strasser:
"GaAs-based Quantum Cascade Laser Diodes";
Vortrag: 15th International Conference on InP and Related Materials,
Santa Barbara, USA (eingeladen);
12.05.2003
- 16.05.2003.
-
H. Langfischer, S. Harasek, H. D. Wanzenböck, A. Lugstein, B. Basnar, E. Bertagnolli:
"Morphological Studies of Focused Ion Beam Induced Tungsten Deposition";
Poster: Meeting of the Electrochemical Society (ECS),
Paris, France;
27.04.2003
- 02.05.2003.
-
H. D. Wanzenböck, S. Harasek, E. Bertagnolli, H. Hutter, J. Brenner, H. Störi, P. Pongratz:
"Direct-Write Deposition of Silicon Oxide - The Espress Lane towards patterned thin Films";
Vortrag: Meeting of the Electrochemical Society (ECS),
Paris, France (eingeladen);
27.04.2003
- 02.05.2003.
-
H. D. Wanzenböck, S. Harasek, H. Langfischer, E. Auer, E. Bertagnolli, H. Hutter, H. Störi:
"Focused Ion Beam induced Chemical Vapor Deposition (FIB-DVD) for Local Nanodeposition of Dielectric Material";
Poster: Meeting of the Electrochemical Society (ECS),
Paris, France;
27.04.2003
- 02.05.2003.
-
G. Pillwein, G. Brunthaler, G. Strasser:
"Characterization of lateral quantum dots fabricated by e-beam lithography";
Poster: GMe Forum 2003,
Wien, Austria;
10.04.2003
- 11.04.2003.
-
T. Müller, J. Darmo, R. Bratschitsch, W. Parz, G. Strasser, K. Unterrainer:
"Probing electron dynamics in nanostructures by ultrabroadband THz pulses";
Poster: Laserseminar Mauterndorf,
Mauterndorf, Österreich;
17.03.2003
- 21.03.2003.
-
C. Pflügl, J. Darmo, R. Bratschitsch, T. Müller, W. Schrenk, S. Anders, T. Roch, K. Unterrainer, G. Strasser:
"High Power mid- and far-infrared simiconductor emitters";
Poster: Laserseminar Mauterndorf,
Mauterndorf, Österreich;
17.03.2003
- 21.03.2003.
-
C. Kranz, A. Kueng, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"Simultaneous Tapping Mode Topographical and Electrochemical Imaging of Enzyme Activity with AFM-Tip Integrated Nanoelectrodes";
Poster: Pittcon,
Orlando, Florida, USA;
09.03.2003
- 14.03.2003.
-
F. F. Schrey, T. Müller, S. Anders, L. Rebohle, W. Schrenk, K. Unterrainer, G. Strasser:
"Dynamics between electronic lavels in InAs Quantum dots";
Vortrag: 7th Int. Workshop on Nonlinear Optics and Excitation Kinetics in Semicond. (NOEKS 7),
Karlsruhe, Deutschland;
24.02.2003
- 28.02.2003.
-
W. Brezna, H. D. Wanzenböck, A. Lugstein, E. Bertagnolli, E. Gornik, J. Smoliner:
"Focused ion beam induced damage in silicon investigated with scanning capacitance microscopy";
Vortrag: 4th Int. Symposium on Nanostructures and Mesoscopic Systems 2003 (NANOMES 2003),
Tmpe, Arizona, USA;
17.02.2003
- 21.02.2003.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"BEEM/BEES investigations on AlAs/GaAs single barriers and RTDs";
Vortrag: 4th Int. Symposium on Nanostructures and Mesoscopic Systems 2003 (NANOMES 2003),
Tempe, Arizona, USA;
17.02.2003
- 21.02.2003.
-
S. Anders, P Schwaha, W. Schrenk, G. Strasser:
"Electrically pumped quantum cascade ring lasers";
Poster: 12th Euro-MBE Workshop,
Bad Hofgastein, Österreich;
16.02.2003
- 19.02.2003.
-
J. Darmo, T. Roch, G. Strasser, T. Müller, K. Unterrainer:
"Resonant-cavity based THz photoconductie emitters";
Vortrag: 12th Euro-MBE Workshop,
Bad Hofgastein, Österreich;
16.02.2003
- 19.02.2003.
-
T. Le, J. Darmo, K. Unterrainer, A. Stingl, G. Tempea:
"Compact THz-source based on femtosecond Ti:S laser and intracavity photoconductive antenna";
Vortrag: Photonics West,
San Jose, California, USA;
25.01.2003
- 31.01.2003.
-
C. Pflügl, M. Litzenberger, W. Schrenk, S. Anders, D. Pogany, E. Gornik, G. Strasser:
"Thermal Dynamics of CaAs-based quantum cascade lasers";
Vortrag: Photonics West,
San Jose, USA;
25.01.2003
- 31.01.2003.
-
J. Darmo, T. Müller, G. Strasser, K. Unterrainer, T. Le, A. Stingl:
"Bragg mirror enhanced THz antennas for intracavity THz generatiion and modelocking";
Vortrag: Workshop on Quantum Heterostructures and THz Electronics,
Regensburg, Deutschland (eingeladen);
23.01.2003.
-
V. Tamosiunas, R. Zobl, G. Fasching, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, F. Capasso, K. West, L. Pfeiffer:
"Terahertz quantum cascade lasers in strong magnetic fields";
Poster: IOP-meeting on Quantum Cascade Lasers,
Univ. Of Leeds, United Kingdom;
15.01.2003
- 15.01.2003.
-
R. Ascazubi, O. Akin, T. Zaman, R. Kersting, G. Strasser:
"Scattering and dephasing in semiconductor heterostructures";
Poster: Materials Research Society Fall Meeting (MRS),
Boston;
02.12.2002
- 06.12.2002.
-
T. Elsaesser, R. A. Kaindl, F. Eickemeyer, K. Reimann, M. Woerner, S. Barbieri, C. Sirtori, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer, R. Hey, K. H. Ploog:
"Ultrafast intersubband coherences in semiconductor quantum wells and quantum cascade structures";
Vortrag: Materials Research Society Fall Meeting (MRS),
Boston, USA (eingeladen);
02.12.2002
- 06.12.2002.
-
S. Harasek, H. D. Wanzenböck, H. Langfischer, E. Bertagnolli:
"Ultrathin zirconium dioxide chemically deposited at a low thermal budget";
Poster: Materials Research Society Fall Meeting (MRS),
Boston, USA;
02.12.2002
- 06.12.2002.
-
H. D. Wanzenböck, S. Harasek, H. Langfischer, E. Bertagnolli, U. Grabner, P. Hammer, P. Pongratz:
"FI-TEM characterization of locally resticted implantation damage";
Poster: Materials Research Society Fall Meeting (MRS),
Bosten, USA;
02.12.2002
- 06.12.2002.
-
H. D. Wanzenböck, S. Harasek, H. Langfischer, W. Brezna, J. Smoliner, E. Bertagnolli:
"Deposition Mechanism of oxide thin films manufactured by a focused energetic beam process";
Poster: Materials Research Society Fall Meeting (MRS),
Boston, USA;
02.12.2002
- 06.12.2002.
-
H. D. Wanzenböck, H. Langfischer, S. Harasek, E. Bertagnolli:
"Versatile Nanodeposition of Dielectrics and Metals by noncontract direct-write technologies";
Vortrag: Materials Research Society Fall Meeting (MRS),
Boston, USA;
02.12.2002
- 06.12.2002.
-
G. Strasser:
"Quanten-Kaskaden-Laser - ein leuchtendes Beispiel für Nanodesign";
Vortrag: Nanoforum 2002,
Johannes Kepler Universität Linz, Austria;
14.11.2002
- 16.11.2002.
-
G. Strasser:
"Technology of quantum cascade lasers";
Vortrag: Crystal Growth and Epitaxy, Review Conf. on scientific cooperation between Austria and Poland,
Vienna, Austria (eingeladen);
20.10.2002
- 24.10.2002.
-
G. Hobler:
"Status and open problems in silicon implant damage modeling";
Vortrag: 3rd Int. Meeting Challenges in Predictive Process Simulation,
Prague, Czech Republic;
13.10.2002
- 17.10.2002.
-
M. Blaho, D. Pogany, E. Gornik, L. Zullino, A. Andreini:
"Expermental ans simulation analysis of a BCD ESD protection element under the DC and TLP stress conditions";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Rimini, Italy;
07.10.2002
- 11.10.2002.
-
C. Pflügl, M. Litzenberger, W. Schrenk, S. Anders, D. Pogany, E. Gornik, G. Strasser:
"Interferometric Temperature Mapping of GaAs-based Quantum Cascade Laser Ridges";
Vortrag: 29th Int. Symp. on Comp. Semicond. (ISCS 2002),
Lausanne, Switzerland;
07.10.2002
- 10.10.2002.
-
D. Pogany, J. Kuzmik, J. Darmo, M. Litzenberger, S. Bychikhin, K. Unterrainer, E. Gornik, Z. Mozolova, S. Hascik, T. Lalinsky:
"Electrical fied mapping in InGaPHEMTs and GaAs teraherz emitters using backside infrared OBIC technique";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Rimini, Italy;
07.10.2002
- 11.10.2002.
-
W. Schrenk, S. Anders, C. Pflügl, E. Gornik, C. Becker, C. Sirtori, G. Strasser:
"Room Temperature Operation Of GaAs/AlGaAs Quantum Cascade Lasers";
Vortrag: 29th Int. Symp. on Comp. Semicond. (ISCS 2002),
Lausanne, Switzerland;
07.10.2002
- 10.10.2002.
-
W. Stadler, K. Esmark, H. Gossner, M. Streibl, M. Wendel, W. Fichtner, M. Litzenberger, D. Pogany, E. Gornik:
"Device Simulation and Backside Laser Interferometry - Powerful Tools for ESD Protection Development";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Rimini, Italy;
07.10.2002
- 11.10.2002.
-
G. Schindler, W. Steinhögl, G. Steinlesberger, M. Traving, C.M. Engelhardt:
"Recent Advances for Nano Interconnects:Conductor Reliability and Resistivity";
Vortrag: AMC,
San Diego (eingeladen);
01.10.2002
- 03.10.2002.
-
W. Steinhögl, G. Schindler, G. Steinlesberger, M. Traving, C.M. Engelhardt:
"Surfce and Grain Boundary Scattering: A Modelling Study of Effects Domainating the Electrical Resistivity in sub-50nm Copper Lines";
Vortrag: AMC,
San Diego;
01.10.2002
- 03.10.2002.
-
G. Steinlesberger, W. Steinhögl, G. Schindler, C.M. Engelhardt, M. Traving, E. Bertagnolli:
"Microsturcture Study of Cu Damascene Nano-Interconnects";
Poster: AMC,
San Diego;
01.10.2002
- 03.10.2002.
-
M. Traving, G. Schindler, G. Steinlesberger, W. Steinhögl, C.M. Engelhardt:
"On The Way to the 2.5 nm Barrier For End-of-Roadmap MPUs";
Vortrag: AMC,
San Diego;
01.10.2002
- 03.10.2002.
-
K. Unterrainer:
"THz emission from semiconductors";
Vortrag: INFM School on "Progress in Laser Sources and Photonic Devices,
Capri, Italy (eingeladen);
01.10.2002
- 08.10.2002.
-
G. Strasser:
"GaAs-based quantum cascade DFB lasers and Mircocavities";
Vortrag: Workshop Quantum Cascade Lasers Technology & Application,
Freiburg, Germany (eingeladen);
19.09.2002.
-
J. Smoliner:
"Charakterisierung von Halbleitern mittels Rastersonden Mikroskopie";
Poster: Chemietage Linz,
Linz, Austira;
17.09.2002.
-
G. Strasser, W. Schrenk, S. Anders, C. Pflügl, E. Gornik:
"Growth of GaAs based quantum cascade lasers";
Vortrag: 12th Int. Conf. on Molecular Beam Epitaxy,
San Francisco;
15.09.2002
- 21.09.2002.
-
J. Ulrich, V. Tamosiunas, J. Kreuter, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, F. Cappasso, H. Y. Hwang, D. L. Sivco, A. Y. Cho:
"Waveguides for long wavelength quantum cascade lasers";
Vortrag: 10th Int. IEEE Conf. on Terahertz Electronics,
Cambridge, UK;
09.09.2002
- 10.09.2002.
-
G. Hobler, G. Otto:
"Detailed modeling of ion implantation damage in silicon using a binary collision approach with information from molecular dynamics simulations";
Vortrag: IBMM 2002,
Kobe, Japan;
01.09.2002
- 06.09.2002.
-
H. D. Wanzenböck, S. Harasek, G. Hobler, H. Hutter, H. Störi, P. Pongratz, E. Bertagnolli:
"Dielectric nanostructure fabricatio using a focused ion beam";
Vortrag: IBMM 2002,
Kobe, Japan;
01.09.2002
- 06.09.2002.
-
H. D. Wanzenböck, G. Hobler, H. Langfischer, S. Harasek, W. Brezna, J. Smoliner, E. Bertagnolli:
"Characterization of Doping and intermixing effects of focused ion beam processing";
Vortrag: IBMM 2002,
Kobe, Japan;
01.09.2002
- 06.09.2002.
-
M. Coquelin, C. Pacher, M. Kast, G. Strasser, E. Gornik:
"Transport studies on double period superlattices utilizig Hot Electron Spectroscopy";
Poster: 26th Int. Conf. on the Physics of Semiconductors,
Edingburgh, Scotland;
29.07.2002
- 02.08.2002.
-
J. Darmo, G. Strasser, T. Müller, K. Unterrainer:
"THz plasmon emission from an LT-GaAs/GaAs homojunction";
Vortrag: 26th Int. Conf. on the Physics of Semiconductors,
Edinburgh, Scottland;
29.07.2002
- 02.08.2002.
-
T. Elsaesser, R. A. Kaindl, F. Eickemeyer, K. Reimann, M. Woerner, S. Barbieri, C. Sirtori, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer, R. Hey:
"Ultrafast intersubband coherences in quantum wells and quantum cascade structures";
Vortrag: OSA Nonlinear Optics Confernce,
Maui, USA (eingeladen);
29.07.2002
- 02.08.2002.
-
M. Kast, C. Pacher, G. Strasser, E. Gornik:
"Transport through Wannier-Stark states in biased finite superlattices";
Poster: 26th Int. Conf. on the Physics of Semiconductors,
Edingburgh, Scotland;
29.07.2002
- 02.08.2002.
-
T. Müller, R. Bratschitsch, W. Parz, G. Strasser, K. Unterrainer:
"Intersubband Polarization and Carrier Dynamics";
Vortrag: 26th Int. Conf. on the Physics of Semiconductors,
Edinburgh, Scottland;
29.07.2002
- 02.08.2002.
-
C. Pacher, G. Fasching, M. Kast, G. Strasser, E. Gornik:
"Study of Electron-Phonon Scattering in wide GaAs Quantum Wlls utilizing Hot Electron Spectroscopy";
Poster: 26th Int. Conf. on the Physics of Semiconductors,
Edingburgh, Scotland;
29.07.2002
- 02.08.2002.
-
D. Rakoczy, G. Strasser, C. Strahberger, J. Smoliner:
"BEEM/BEES in Hetero- and Nanostructures: Electron Refraction and Higher Valleys";
Poster: 26th Int. Conf. on the Physics of Semiconductors,
Edingburgh, Scotland;
29.07.2002
- 02.08.2002.
-
L. Rebohle, F. F. Schrey, S. Hofer, G. Strasser, K. Unterrainer:
"InAs/GaAs quantum dot stacks and their suitability as infrared photodetectors";
Vortrag: 26th Int. Conf. on the Physics of Semiconductors,
Edinburgh, Scottland;
29.07.2002
- 02.08.2002.
-
G. Strasser, W. Schrenk, S. Anders, J. Ulrich, E. Gornik, K. Unterrainer:
"High performance AlGaAs/GaAs quantum cascade lasers";
Vortrag: 26th Int. Conf. on the Physics of Semiconductors,
Edingburgh, Scottland;
29.07.2002
- 02.08.2002.
-
S. Anders, W. Schrenk, G. Strasser:
"Room temperature lasing of electrically pumped quantum cascade micro-cylinders";
Poster: International Conference on Superlattices, Nanostructures and Nanodevices,
Toulouse, France;
22.07.2002
- 26.07.2002.
-
L. Rebohle, F. F. Schrey, S. Hofer, G. Strasser, K. Unterrainer:
"Energy level engineering in InAs quantum dot stacks embedded in AlAs/GaAs superlattices";
Vortrag: Int. Conf. on Superlattices, Nanostructures and Nanodevices (ICSNN),
Toulouse, France;
22.07.2002
- 26.07.2002.
-
J. Darmo, T. Müller, G. Strasser, K. Unterrainer:
"Terahertz Generation in GaAs:As Photoconductive Emitters";
Vortrag: 12th Semiinsulating and Insulating Materials Conference,
Smolenice Castle, Slovakia (eingeladen);
30.06.2002
- 05.07.2002.
-
K. Unterrainer, R. Bratschitsch, T. Müller, R. Kersting, J.N. Heyman, G. Strasser:
"Few-Cycle THz pulse generation and spectroscopy of semiconductor nanostructures";
Vortrag: 12th Int. Semiconducting and Insulating Materials Conference,
Smolenice Castle, Slovakia (eingeladen);
30.06.2002
- 05.07.2002.
-
G. Otto, G. Hobler, K. Gärtner:
"Defect characterization of 10-200 eV recoil events in silicon using classical molecular dynamcs ";
Vortrag: 6th Int. Conf. Computer Simulation of Radiation Effects in Solids,
Dresden, Deutschland;
23.06.2002
- 27.06.2002.
-
A. Edelmann, J. Frank, W. Schrenk, E. Gornik, G. Strasser, B. Lendl:
"Quantum Cascade Lasers used for powerful mid-infrared detection in High Performance Liquid Chromatography";
Poster: 7th International Symposium on Advances in Analytical Separation Science,
Pörtschach (Austria) (eingeladen);
03.06.2002
- 05.06.2002.
-
A. Kueng, C. Kranz, B. Mizaikoff, A. Lugstein, E. Bertagnolli:
"Integrated Ultramicroelectrode/AFM Cantilever for In-Situ Imaging of Enzyme Activity";
Poster: 4th Conference on Scanning Probe Microscopy, Sensors and Nanostructures,
Las Vegas;
26.05.2002
- 29.05.2002.
-
T. Müller, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Population dynamics in quantum structures";
Vortrag: 13th International Conference on Ultrafast Phenomena,
Vancouver, Canada;
12.05.2002
- 17.05.2002.
-
C. Kranz, E. L. Heinz, B. Mizaikoff, A. Lugstein, E. Bertagnolli:
"Simultaneous Surface Modification and Imaging with Interated Scanning Electrochemical/Atomic Force Microscopy";
Poster: Pittcon,
Pittsburgh;
17.03.2002
- 22.03.2002.
-
C.M. Engelhardt, G. Schindler, W. Steinhögl, G. Steinlesberger:
"Challenges of Interconnection Technology Till the End of the Roadmap and Beyond";
Vortrag: MAM 2002,
Vaals, The Netherlands;
04.03.2002
- 06.03.2002.
-
G. Steinlesberger, C.M. Engelhardt, G. Schindler, W. Steinhögl, A. von Grasow, K. Mosig, E. Bertagnolli:
"Electrical Assessment of Copper Damascene Interconnects Down to sub 50 nm Feature Sizes";
Vortrag: MAM 2002,
Vaals, The Netherlands;
04.03.2002
- 06.03.2002.
-
J. Smoliner:
"High Energy Ballistic Transport in Hetero- and Nanostructures";
Vortrag: 12th International Winterschool on New Developments in Solid State Physics,
Mauterndorf (eingeladen);
24.02.2002
- 28.02.2002.
-
W. Schrenk:
"GaAs-based quantum cascade lasers";
Vortrag: Workshop Light Emitters Based on Intersubband Transitions,
Berlin, Germany (eingeladen);
14.02.2002.
-
W. Schrenk, E. Gornik, G. Strasser:
"Room temperature DFB GaAs quantum cascade lasers";
Vortrag: Photonics West,
San Jose, USA;
19.01.2002
- 25.01.2002.
-
G. Strasser:
"Prospects of intersubband laser action in GaAs cascaded quantum dots";
Vortrag: Photonics West,
San Jose, USA (eingeladen);
19.01.2002
- 25.01.2002.
-
K. Unterrainer:
"Ultrafast Spectroscopy of Intersubband Transitions";
Vortrag: Workshop on Quantum Heterostructures and THz Electronics,
Regensburg (eingeladen);
17.01.2002.
-
J. Smoliner:
"Rastersondenmethoden zur electronischen Charakterisierung von Halbleiter Nanostrukturen";
Vortrag: Fakultätskolloquium Elektronik,
TU-München;
19.12.2001.
-
T. Müller:
"Terahertz Emission kohärenter Plasmonen";
Vortrag: Universität Erlangen-Nürnberg,
Erlangen, Germany;
07.12.2001.
-
K. Unterrainer:
"Ultrabroadband THz Generation and Spectroscopy";
Vortrag: 1st International Conference on Biomedical Imaging & Sensing Applications of Terahertz Technolobgy,
Leeds, England (eingeladen);
30.11.2001
- 01.12.2001.
-
G. Strasser:
"Single mode GaAs quantum cascade lasers";
Vortrag: 5th Symposium on New Phenomena in Mesoscopic Structures,
Waikoloa, USA (eingeladen);
25.11.2001
- 30.11.2001.
-
S. Bychikhin, M. Litzenberger, R. Pichler, D. Pogany, E. Gornik, G. Groos, M. Stecher:
"Thermal and free carrier laser interferometric mapping and failure analysis of anti-serial smart power ESD protection structures";
Poster: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bourdeaux, France;
01.11.2001
- 05.11.2001.
-
M. Litzenberger, R. Pichler, S. Bychikhin, D. Pogany, K. Esmark, H. Gossner, E. Gornik:
"Effect of pulse risetime on trigger homogeneity in single finger grounded gate nMOSFET electrostatic discharge protection structures";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bordeaux, France;
01.11.2001
- 05.11.2001.
-
C. Kranz, E. L. Huang, B. Mizaikoff, A. Lugstein, E. Bertagnolli:
"Towards Electrochemical Imaging on a Nanometer Scale with Submicro- and Nanoelectrodes Integrated in AFM-Tips";
Poster: IEEE-NANO 2001,
Maui, Hawaii;
28.10.2001
- 30.10.2001.
-
M. Leicht, G. Fritzer, B. Basnar, S. Golka, J. Smoliner:
"A reliable course of Scanning Capacitance Microscopy analysis applied for 2D-Dopant Profilings of Power MOSFET Devices";
Vortrag: 12th European Symposium on the Reliability of Electron Devices Failure Physics and Analysis (ESREF),
Bordeaux, France;
01.10.2001
- 05.10.2001.
-
K. Unterrainer:
"Towards a THz Quantum Cascade Laser";
Vortrag: Stevans Institute of Technology,
Hoboken NJ;
26.09.2001.
-
C. Kranz, B. Mizaikoff, G. Friedbacher, A. Lugstein, J. Smoliner, E. Bertagnolli:
"Combining SECM and AFM - Integration of an Ultramicroelectrode in an AFM-Tip";
Poster: 4th International Conference on the Development and Technological Application of Scanning Probe ...,
Münster, Germany;
25.09.2001
- 27.09.2001.
-
P.O. Kellermann, N. Finger, H. Maier, E. Gornik, M. Ost, F. Scholz, H. Schweizer:
"Laser diodes with contradirectional surface mode coupling";
Poster: Volkswagen-Stiftung Photonik-Symposium 2001,
Baden, Austria;
23.09.2001
- 25.09.2001.
-
H. Maier, P.O. Kellermann, N. Finger, E. Gornik, R. Winterhoff, F. Scholz:
"Visible red surface-emitting distributed-feedback lasers with a surface grating";
Poster: Volkswagen-Stiftung Photonik-Symposium 2001,
Baden, Austria;
23.09.2001
- 25.09.2001.
-
D. Piester, M. Ursu, A.A. Ivanov, A.S. Bakin, H.-H. Wehmann, A. Schlachetzki, T. Klaffs, B. Güttler, G. Strasser, E. Gornik:
"InGaAs/InP-Nanostrukturen für Quantenkaskadenlaser";
Poster: Volkswagen-Stiftung Photonik-Symposium 2001,
Baden, Austria;
23.09.2001
- 25.09.2001.
-
E. Bertagnolli:
"Focused Ion Beam Technology; A Route to Silicon Nanofabrication";
Poster: CELDIS Workschop on Electronic Material Nanostructures: Fabrication and Characterisation,
Warsaw, Poland (eingeladen);
20.09.2001
- 23.09.2001.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade emitters";
Vortrag: Workshop on Electronic Material Nanostructures: Fabrication and Characterisation,
Warsaw, Poland (eingeladen);
20.09.2001
- 23.09.2001.
-
C. Kranz, E. L. Huang, B. Mizaikoff, H.-S. Noh, P. Hesketh, A. Lugstein, E. Bertagnolli:
"Electrochemical Imaging with Submicro- and Nanoelectodes Integrated in AFM-Tips";
Poster: 2nd Georgia Tech Conference on Nanoscience and Nanotechnology,
Atlanta, USA;
19.09.2001
- 21.09.2001.
-
A. Lugstein, W. Brezna, E. Bertagnolli:
"Nonuniform Channel MOS Device";
Poster: Symposium Ion Beam Processing of Semiconductor Devices (ESSDERC 2001 Short Course 1),
Erlangen, Germany (eingeladen);
11.09.2001.
-
R. Bratschitsch, T. Müller, G. Strasser, K. Unterrainer:
"Ultrafast intersubband probing in the mit-infrared";
Vortrag: 6th International Conference on Intersubband Transitions in Quantum Wells,
Asilomar, USA;
10.09.2001
- 14.09.2001.
-
J. Darmo, R. Bratschitsch, T. Müller, G. Strasser, K. Unterrainer:
"Coherent THz emission from semiconductor heterosturctures";
Vortrag: International Conference on infrared and millimeter waves (IRMMW),
Toulouse, France;
10.09.2001
- 14.09.2001.
-
W. Schrenk, S. Gianordoli, L. Hvozdara, N. Finger, G. Strasser:
"Single mode AlGaAs based quantum cascade lasers";
Poster: ITQW,
Asilomar, USA;
10.09.2001
- 14.09.2001.
-
G. Strasser, W. Schrenk, S. Gianordoli, E. Gornik, H. Page, C. Sirtori, V Ortiz:
"Room temperature & co0ntinuos wave GaAs quantum cascade lasers";
Vortrag: ITQW,
Asilomar, USA (eingeladen);
10.09.2001
- 14.09.2001.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade emitters: the role of intersubband scattering";
Vortrag: ITQW,
Asilomar, USA (eingeladen);
10.09.2001
- 14.09.2001.
-
E. Gornik:
"Laserprober für Leistungsbauelemente";
Vortrag: Infineon,
München;
04.09.2001.
-
M. Kast, C. Pacher, M. Coquelin, G. Fasching, G. Strasser, E. Gornik:
"LO-phonon assisted hot electron transport in biased superlattices";
Vortrag: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Santa Fe, New Mexico, USA;
27.08.2001
- 31.08.2001.
-
T. Müller, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Direct measurement of intersubband dynamics";
Vortrag: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Santa Fe, New Mexico, USA;
27.08.2001
- 31.08.2001.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Ballistic electron emission microscopy for local measurement of band offsets on InAs self-assembled quantum dots on GaAs";
Vortrag: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Santa Fe, New Mexico, USA;
27.08.2001
- 31.08.2001.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade emission and detection";
Vortrag: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Santa Fe, New Mexico, USA;
27.08.2001
- 31.08.2001.
-
E. Gornik, G. Strasser:
"THz emission from intersubband and plasmon decay";
Vortrag: International Workshop on "Nanophysics & Bioelectronics,
Dresden (eingeladen);
04.08.2001
- 18.08.2001.
-
C Brink, D Schneider, G. Ploner, G. Strasser, E. Gornik:
"Magnetophonon resonance in the confinement on an n-GaAs/AlGaAs-heterojunction tuned to a quasi-one-dimensional quantum wire";
Poster: 14th International Conference On the Electric Properties of Two-dimensional Systems,
Prague, Czech Republice;
30.07.2001
- 03.08.2001.
-
C. Pacher, G. Strasser, E. Gornik, F. Elsholz, G. Kießlich, A. Wacker, E. Schöll:
"Optics with ballistic electrons: antiflection coatings for GaAs/AlGaAs superlattices";
Poster: 14th International Conference On the Electric Properties of Two-dimensional Systems,
Prague, Czech Republic;
30.07.2001
- 03.08.2001.
-
R. Bratschitsch, T. Müller, G. Strasser, K. Unterrainer:
"Intersubband relaxation dynamics in semiconductor quantum sturctures";
Poster: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
23.07.2001
- 27.07.2001.
-
S. Hofer, H. Hirner, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Photoconductive response of InAs/GaAs quantum dot stacks";
Poster: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
23.07.2001
- 27.07.2001.
-
M. Kast, C. Pacher, M. Coquelin, G. Fasching, G. Strasser, E. Gornik:
"An Ultra-narrow Electron Injector for Ballistic Electron Spectroscopy";
Poster: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
23.07.2001
- 27.07.2001.
-
C. Pacher, G. Strasser, E. Gornik, F. Elsholz, A. Wacker, G. Kießlich, E. Schöll:
"Optics with Electrons: Fabry-Pérot Resonances and Anti-Reflection Coating for Ballistic Elctrons in Finite Superlattices";
Vortrag: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
23.07.2001
- 27.07.2001.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Band offset of InAs Self-assembled Dots on GaAs";
Poster: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
23.07.2001
- 27.07.2001.
-
W. Schrenk, E. Gornik, H. Page, C. Sirtori, V Ortiz, G. Strasser:
"High performance single mode GaAs quantum cascade lasers";
Vortrag: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
23.07.2001
- 27.07.2001.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz-Quantum Cascade Emitters";
Vortrag: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
23.07.2001
- 27.07.2001.
-
E. Gornik:
"Transport spectroscopy of superlattice quantum states";
Vortrag: Symposium on Semiconductor Physics and Devices,
Osaka Internationsl Convention Center, Osaka, Japa (eingeladen);
06.07.2001.
-
C. Kranz, E. L. Huang, B. Mizaikoff, A. Lugstein, E. Bertagnolli:
"Integration of an Ultramicroelectrode in an AFM Cantilever - A Novel Approach for Combining AFM and SECM";
Poster: 2nd International Workshop on Scanning Electrochemical Microscopy,
Southampton, UK;
25.06.2001
- 29.06.2001.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz-Quantum Cascade Emitters";
Vortrag: Mid Infrared Coherent Sources,
St. Petersburg, Russia;
25.06.2001
- 29.06.2001.
-
J. Ulrich, R. Zobl, W. Schrenk, K. Unterrainer:
"Terahertz-quantum cascade emitters";
Vortrag: MICS'2001,
St. Petersburg, Russia;
25.06.2001
- 29.06.2001.
-
R. Bratschitsch:
"Time-resolved THz sprctroscopy of intersubband transitions";
Vortrag: Optics Institute, Univ. of Rochester,
Rochester, USA;
11.06.2001.
-
E. Gornik:
"Future Submicron Devices";
Vortrag: Presentation of MEDEA + network of exellence,
Paris MEDEA Office;
16.05.2001.
-
J. Smoliner:
"Rasterkapazitätsmikroskopie auf Halbleiterstrukturen";
Vortrag: Joanneum Research,
Weiz;
11.05.2001.
-
J. Smoliner:
"Rasterkapazitätsmikroskopie auf Halbleiterstrukturen";
Vortrag: Walter Schottky Institut TU-München,
München, Germany;
08.05.2001.
-
W. Schrenk, S. Gianordoli, N. Finger, E. Gornik, G. Strasser:
"Continuous-wave operation of distributed feedback quantum cascade lasers";
Vortrag: CLEO '01,
Baltimore, USA;
06.05.2001
- 08.05.2001.
-
E. Gornik:
"Future Submicron Devices-a Route to Nanoelectronics";
Vortrag: Presentation of MEDEA + network of exellence,
Europeam Commision Microelectronic Devision, Brues;
24.04.2001.
-
J. Smoliner:
"Rasterkapazitätsmikroskopie and Halbleiterbauelementen";
Vortrag: GMe Forum 2001,
Wien;
06.04.2001.
-
H. D. Wanzenböck, S. Gergov, U. Grabner, P. Pongratz, H. Störi, H. Hutter, B. Basnar, J. Smoliner, E. Bertagnolli:
"Sublithographische Siliziumoxid-Strukturen für die Phasenshift-Technologie";
Poster: GMe Forum 2001,
Wien;
06.04.2001.
-
E. Gornik:
"Transport in Quantenstrukturen";
Vortrag: Österreichische Akademie der Wissenschaft,
Wien;
05.04.2001.
-
H. Langfischer, B. Basnar, E. Bertagnolli, H. Hutter:
"Focused ion beam induced local tungsten deposition";
Poster: GMe Forum 2001,
Wien;
05.04.2001
- 06.04.2001.
-
G. Strasser, W. Schrenk:
"Epitaktisches Wachstum von gitterangepassten und verspannten III-V Verbindungen";
Poster: GMe Forum 2001,
Wien;
05.04.2001
- 06.04.2001.
-
H. D. Wanzenböck, E. Bertagnolli, U. Grabner, P. Pongratz:
"TEM-images for interface study of dielectrics deposited locally by a focused ion beam";
Poster: FIB 2001 Advances in Focused Ion Beam Microscopy,
Oxford, UK;
30.03.2001.
-
H. Wanzenböck, S. Gergov, E. Auer, S. Harasek, E. Bertagnolli, M. Gritsch, H. Hutter, J. Brenner, H. Störi:
"Reliability of Silicon Oxide deposited by an Focused Ion Beam as Insulator for Microelectronic Interconnect Layer";
Vortrag: Meeting of the Electrochemical Society (ECS),
Washington D. C., USA;
25.03.2001
- 30.03.2001.
-
R. Bratschitsch:
"Time-resolved THz spectroscopy of intersubband transitions";
Vortrag: University of Colorado at Boulder,
Boulder, USA;
20.03.2001.
-
R. Bratschitsch, T. Müller, N. Finger, G. Strasser, K. Unterrainer, C. Sirtori:
"Non-instantaneous response of a plasma Bragg mirror";
Vortrag: APS March Meeting,
Seattle, USA;
12.03.2001
- 16.03.2001.
-
G. Strasser:
"Single mode continous wave GaAs quantum cascade lasers";
Vortrag: APS March Meeting,
Seattle, USA (eingeladen);
12.03.2001
- 16.03.2001.
-
G. Strasser:
"InGaAs/GaAsSb infrared devices";
Vortrag: IRON Workshop,
Wien (eingeladen);
10.03.2001.
-
G. Strasser:
"Nanosturctures in Photonics";
Vortrag: Optoelectronics & Photonics Winter School,
Trento, Italy;
04.03.2001
- 11.03.2001.
-
E. Gornik:
"Current spectroscopy of Quantum States in Superlattices";
Vortrag: FU Berlin,
Institut für Festkörperphysik;
08.02.2001.
-
K. Unterrainer:
"THz emission form semiconductor quantum structures";
Vortrag: Workshop on Quantum Heterostructures and THz Electronics,
Regensburg, Germany (eingeladen);
01.02.2001.
-
K. Unterrainer:
"few-cycle THz generation and spectroscopy";
Vortrag: Institut National des Sciences Appliquées (INSA),
Toulouse;
27.01.2001.
-
G. Strasser:
"Single mode GaAs quantum cascade laser";
Vortrag: Boston College,
Boston, USA;
24.01.2001.
-
E. Gornik, S. Gianordoli, L. Hvozdara, W. Schrenk, A. Lugstein, N. Finger, J. Faist, G. Strasser:
"Long-wavelength GaAs-AlGaAs quantum-cascade microlasers";
Vortrag: Photonics West,
San Jose, USA (eingeladen);
20.01.2001
- 26.01.2001.
-
G. Strasser:
"Monomodige GaAs Quantum-Kaskadenlaser";
Vortrag: HHI,
Berlin, Germany;
16.01.2001.
-
K. Unterrainer:
"Towards a THz laser: Nonlinear- and Emission THz spectroscopy";
Vortrag: Seminar Princeton University,
Troy;
20.11.2000.
-
K. Unterrainer:
"Towards a THz laser: Electroluminescence and optically excited THz emission";
Vortrag: Bell Laboratories,
Lucent Technologies;
17.11.2000.
-
K. Unterrainer:
"Towards a THz laser";
Vortrag: Seminar Princeton University,
Princeton;
16.11.2000.
-
K. Unterrainer:
"THz time domain spectroscopy of semiconductor nanostructures";
Vortrag: Physics Colloquium, Boston College,
Boston, USA;
15.11.2000.
-
L. Hvozdara, S. Gianordoli, G. Strasser, W. Schrenk, K. Unterrainer, E. Gornik, V. Pustogov, C. Murthy, B. Mizaikoff, A. Inberg, N. Croitoru:
"Gas Absorption Spectroscopy using GaAs/AlGaAs quantum cascade lasers and a hollow waveguide absorption cell";
Vortrag: SPIE - Environmental and Industrial Sensing,
Boston, USA;
05.11.2000
- 08.11.2000.
-
W. Schrenk:
"DFB Quantenkaskadenlaser";
Vortrag: Institut für Angewandte und Experimentelle Physik,
Regensburg, Germany;
23.10.2000.
-
G. Strasser:
"Single mode GaAs quantum cascade laser";
Vortrag: ETH Zürich,
Zürich;
17.10.2000.
-
H. Wanzenböck, A. Lugstein, S. Harasek, H. Langfischer, E. Bertagnolli:
"Advances in Material Porperties of Focused Ion Beam Deposited Dielectics";
Poster: 4th European FIB User Group Meeting (EFUG),
Dresden, Germany;
12.10.2000.
-
A. Lugstein, E. Bertagnolli, C. Kranz, B. Mizaikoff:
"FIB Based Microfabrication Technique For A Novel Type on Scanning Electrochemical Mircoscopy Probes";
Poster: European FIB User Group Meeting,
Dresden, Germany;
04.10.2000.
-
C. Fürböck, K. Esmark, M. Litzenberger, D. Pogany, G. Groos, R. Zelsacher, M. Stecher, E. Gornik:
"Thermal and free carrier concentration mapping during ESD event in Smart Power ESD protection devices using a modified laser interferometry technique";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Dresden, Germany;
02.10.2000
- 06.10.2000.
-
M. Litzenberger, K. Esmark, D. Pogany, C. Fürböck, H. Gossner, E. Gornik, W. Fichtner:
"Study of tiggering inhomogeneities in gg-nMOS ESD protection devices via thermal mapping using bachside laser interferometry";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Dresden, Germany;
02.10.2000
- 06.10.2000.
-
D. Pogany, K. Esmark, M. Litzenberger, C. Fürböck, H. Gossner, E. Gornik:
"Bulk and surface degradation mode in 0.35um technology gg-nMOS ESD protection devices";
Vortrag: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Dresden, Germany;
02.10.2000
- 06.10.2000.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Few-cycle THz-generation?,? from semiconductor quantum structures";
Poster: 8th International Conference on THz-Electronics,
Darmstadt;
28.09.2000
- 29.09.2000.
-
G. Strasser:
"Single mode GaAs quantum cascade laser";
Vortrag: Österreichische Physikalische Gesellschaft, 50. Jahrestagung,
Graz, Austria;
28.09.2000
- 29.09.2000.
-
M. Kast:
"Direct Observation of Wannier-Stark-States in GaAs/AlGaAs-Superlattices";
Vortrag: SFB workshop,
Wien;
27.09.2000.
-
C. Kranz, G. Friedbacher, B. Mizaikoff, A. Lugstein, J. Smoliner, E. Bertagnolli:
"Combining SECM and AFM - Integration of an Ultramicroelectrode in an AFM-Tip";
Poster: SXM4 4th International Conference on the Development and Technological Application of Scanning Probe,
Münster;
25.09.2000
- 27.09.2000.
-
S. Gianordoli, W. Schrenk, L. Hvozdara, G. Strasser, E. Gornik:
"GaAs/AlGaAs auperlattice - and strained InGaAs/GaAs/AlGaAs quantum cascade lasers";
Poster: International Conference on Physics of Semiconductor (ICPS),
Osaka;
18.09.2000
- 22.09.2000.
-
R. Kersting, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Sampling the dynamics of intersubband transitions";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Osaka;
18.09.2000
- 22.09.2000.
-
D. Rakoczy, J. Smoliner, R. Heer, G. Strasser:
"Ballistic electron emission spectroscopy on biased GaAs-AlGaAs superlattices in transverse magnetic fields";
Poster: International Conference on Physics of Semiconductor (ICPS),
Osaka;
18.09.2000
- 22.09.2000.
-
G. Strasser, L. Hvozdara, W. Schrenk, S. Gianordoli, N. Finger, A. Lugstein, E. Gornik:
"Single mode GaAs/AlGaAs quantum cascade lasers";
Vortrag: International Conference on Physics of Semiconductor (ICPS),
Osaka;
18.09.2000
- 22.09.2000.
-
J. Ulrich, G. Strasser, K. Unterrainer, E. Gornik:
"Intrawell versus interwell THz emission";
Poster: International Conference on Physics of Semiconductor (ICPS),
Osaka;
18.09.2000
- 22.09.2000.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Coherent THz emission from optically pumped parabolic quantum wells";
Poster: ITW 2000,
Sandbjerg Estate, Dänemark;
17.09.2000
- 19.09.2000.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Nonadiabatic Intersubband Spectroscopy";
Vortrag: ITW 2000,
Sandbjerg Estate, Dänemark (eingeladen);
17.09.2000
- 19.09.2000.
-
T. Müller, R. Bratschitsch, G. Strasser, K. Unterrainer, A. Poppe:
"Few-cycle mid-infrared emission from quantum beats in semiconductor nanostructures";
Poster: ITW 2000,
Sandbjerg Estate, Dänemark;
17.09.2000
- 19.09.2000.
-
G. Strasser, S. Gianordoli, W. Schrenk, E. Gornik:
"MBE-grown GaAs/AlGaAs and strained InGaAs/AlGaAs quantum cascade lasers";
Vortrag: MBE XI,
Peking, China (eingeladen);
10.09.2000
- 15.09.2000.
-
J. Smoliner, R. Heer, G. Strasser, C. Strahberger:
"BEEM/S on GaAs-AlGaAs resonant tunneling structures and quantum wires";
Vortrag: SXM4 4th International Conference on the Development and Technological Application of Scanning Probe,
Münster;
06.09.2000
- 08.09.2000.
-
W. Boxleitner, G. Hobler, V. Klüppel, H. Cerva:
"Dynamic simulation of topography evolution and damage formation in TEM sample preparation using focused ion beams";
Vortrag: 12th International Conference Ion Beam Modification of Materials,
Gramado-Canela, Brasil;
03.09.2000
- 08.09.2000.
-
K. Unterrainer:
"Coherent and photon assisted transport in vertical quantum structures";
Vortrag: TMR Advanced Research School, Space-, Frequency-, and Time resolved Quantum Transport,
Hamburg (eingeladen);
03.09.2000
- 09.09.2000.
-
G. Strasser, W. Schrenk, S. Gianordoli, L. Hvozdara, N. Finger, K. Unterrainer, E. Gornik:
"Single mode emission from GaAl/AlGaAs intersubband and interminiband QCLs";
Vortrag: European Semiconductor Laser Workshop,
Berlin, Germany;
01.09.2000
- 02.09.2000.
-
G. Hobler:
"Modeling of Focused Ion Beam Milling";
Vortrag: Bell Laboratories,
Lucent Technologies;
31.07.2000.
-
W. Boxleitner, G. Hobler:
"FIBSIM -- Dynamic Monte Carlo simulation of compositional and topography changes caused by focused ion beam milling";
Vortrag: 5th Interanional Conference Computer Simulation of Radiation Effects in Solids,
Penn State University, USA;
24.07.2000
- 28.07.2000.
-
G. Hobler, G. Betz:
"On the useful range of application of molecular dynamics simulations in the recoil interaction approximation";
Vortrag: 5th Interanional Conference Computer Simulation of Radiation Effects in Solids,
Penn State University, USA;
24.07.2000
- 28.07.2000.
-
J. Smoliner, R. Heer, G. Strasser, C. Strahberger:
"Effective mass effects and k//-filtering in BEEM an GaAs-AlGaAs resonant tunneling structures";
Poster: SPS 2000,
Hamburg;
12.07.2000
- 15.07.2000.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Coherent THz emission from optically pumped intersubband plasmons in parabolic quantum wells";
Vortrag: Ultrafast Phenomena 2000,
Charleston, USA;
09.07.2000
- 13.07.2000.
-
R. Kersting, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Excitation Dynamics beyond the Slowly-Varying Envelope Approximation";
Vortrag: 12th International Conference on Ultrafast Phenomena,
Charlston, USA;
09.07.2000
- 13.07.2000.
-
J. Ulrich, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade emission:intra-verus interwell transition";
Vortrag: INTERACT-meeting,
Chateau de Bonas, France;
29.06.2000
- 30.06.2000.
-
K. Unterrainer:
"THz generation from intersubband devices";
Vortrag: NATO Advanced Research Workshop "Terahertz Sources and Systems",
Chateau de Bonas, France (eingeladen);
21.06.2000
- 28.06.2000.
-
L. Palmetshofer, M. Gritsch, G. Hobler:
"Range ot ion-implanted rare earth element in Si and Si02";
Poster: Materials Research Society Spring Meeting (MRS),
STrasbourg, France;
31.05.2000
- 02.06.2000.
-
G. Strasser, S. Gianordoli, W. Schrenk, E. Gornik:
"GaAs based quantum cascade mircocavities";
Vortrag: Materials Research Society Spring Meeting (MRS),
Strasbourg, France (eingeladen);
31.05.2000
- 02.06.2000.
-
R. Bratschitsch:
"Time-resolved THz intersubband spectroscopy";
Vortrag: Department of Chemistry and Biochemistry,
San Diego, USA;
16.05.2000.
-
J. Smoliner:
"Ballistic Electron Emission Microscopy/Spectroscopy on GaAs-AlGaAs Heterostructures";
Vortrag: ETH Zürich,
Zürich;
16.05.2000.
-
F. Elsholz, A. Wacker, E. Schöll, C. Pacher, C. Rauch, G. Strasser, E. Gornik:
"Magnetotransport durch rauhe Halbleiterübergitter";
Poster: Deutsche Physikalische Gesellschaft (DPG), Frühjahrstagung des Arbeitskreises Festkörperphysik,
Regensburg, Germany;
27.03.2000
- 31.03.2000.
-
S. Schmult, W. Wegscheider, J. Raabe, S. Gianordoli, G. Strasser, M. Bichler, G. Abstreiter:
"Eigenschaften von AlGaAs/GaAs-Quantenkaskadenlasern";
Vortrag: Deutsche Physikalische Gesellschaft (DPG), Frühjahrstagung des Arbeitskreises Festkörperphysik,
Regensburg, Germany;
27.03.2000
- 31.03.2000.
-
G. Strasser, S. Gianordoli, W. Schrenk, E. Gornik:
"Single mode GaAs/AlGaAs QCLs";
Vortrag: General Conference of the Condensed Matter Division of the European Physical Society,
Montreux, Switzerland;
13.03.2000
- 17.03.2000.
-
K. Unterrainer, R. Bratschitsch, J. Ulrich, G. Strasser:
"THz emission from semiconductor nanostructures";
Vortrag: General Conference of the Condensed Matter Division of the European Physical Society,
Montreux, Switzerland (eingeladen);
13.03.2000
- 17.03.2000.
-
D. Rakoczy, R. Heer, J. Smoliner, G. Ploner, G. Strasser, E. Gornik:
"A Metal-Insulator-Metal Injector for Ballistic Electron Emission Spectroscopy";
Poster: 11th Int. Winterschool on New Developments in Solid State Physics, Low-dimensional Systems: Fundamen,
Mauterndorf, Austria;
21.02.2000
- 25.02.2000.
-
K. Unterrainer, R. Bratschitsch, R. Kersting, T. Müller, G. Strasser, J.N. Heyman:
"Few-Cycle THz spectroscopy of semiconductor quantum structures";
Vortrag: 11th Int. Winterschool on New Developments in Solid State Physics, Low-dimensional Systems: Fundamen,
Mauterndorf, Austria (eingeladen);
21.02.2000
- 25.02.2000.
-
G. Strasser:
"GaAs-based superlattice quantum cascade lasers";
Vortrag: Workshop on Quantum Heterostructures and THz Electronics,
Regensburg, Germany (eingeladen);
27.01.2000.
-
E. Gornik:
"Halbleiter-Nanoelektronik - eine Brücke zur Biologie?";
Vortrag: Einladung des Naturwissenschaftlichen-Medizinischen Vereins,
Innsbruck;
11.01.2000.