Publication list for members of
E362 - Institute of Solid State Electronics
as authors or essentially involved persons
3764 records (1977 - 2022)
The complete list of publications of the Faculty of Electrical Engineering and Information Technology is available from the publication database beginning with the publication year 1996. The database may but need not necessarily contain publications dated earlier than 1996.
Books and Book Editorships
-
J. Smoliner:
"Grundlagen der Halbleiterphysik";
Springer,
2017,
ISBN: 978-3-662-56628-2.
-
J. Smoliner:
"Grundlagen der Halbleiterphysik";
Springer Berlin Heidelberg,
Berlin, Heidelberg,
2020,
ISBN: 978-3-662-60653-7;
318 pages.
More information
-
J. Smoliner:
"Grundlagen der Halbleiterphysik II";
Springer,
2018,
ISBN: 978-3-662-57681-6.
-
J. Smoliner (ed.):
"Jürgen Smoliner Grundlagen der Halbleiterphysik II: Nanostrukturen und niedrigdimensionale Elektronensysteme";
Springer-Verlag,
2021,
ISBN: 3662626071;
172 pages.
-
S. Sze, Y. Li, K. Kwok, J. Smoliner (ed.):
"Physik der Halbleiterbauelemente";
Wiley-Vch,
2021,
ISBN: 978-3527413898;
912 pages.
-
K. Unterrainer, C. Benedela, Ch. Brendt, J. Darmo, D. Dietze, M. Pexa, W. Schrenk, G. Strasser, M.T. Wieser (ed.):
"International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012)";
Institut für Photonik,
2012,
ISBN: 978-3-901578-24-3;
179 pages.
Publications in Scientific Journals
-
S. Abermann, O. Bethge, C. Henkel, E. Bertagnolli:
"Atomic layer deposition of ZrO2/La2O3 high-κ dielectrics on germanium reaching 0.5 nm equivalent oxide thickness";
Applied Physics Letters,
94
(2009),
2629041
- 2629043.
-
S. Abermann, W. Brezna, J. Smoliner, E. Bertagnolli:
"Nanoscopic versus macroscopic C-V characterization of high-k - MOCVD ZrO2 thin films";
Microelectronic Engineering,
83
(2006),
1055
- 1057.
-
S. Abermann, J. Efavi, G. Sjoblom, M. Lemme, J. Olsson, E. Bertagnolli:
"Processing and evaluation of metal gate/high-κ/Si capacitors incorporating Al, Ni, TiN, and Mo as metal gate, and ZrO2 and HfO2 as high-κ dielectric";
Microelectronics Reliability,
84
(2007),
1635
- 1638.
-
S. Abermann, J. Efavi, G. Sjöblom, M. Lemme, J. Olsson, E. Bertagnolli:
"Impact of Al-, Ni-, TiN-, and Mo-mental gates on MOCVD-grown HfO2 and ZrO2high-κ dielectrics";
Microelectronics Reliability,
47
(2007),
536
- 539.
-
S. Abermann, C. Henkel, O. Bethge, G. Pozzovivo, P. Klang, E. Bertagnolli:
"Stabilization of a very high- k crystalline ZrO 2 phase by post deposition annealing of atomic layer deposited ZrO 2 /La 2 O 3 dielectrics on germanium";
Applied Surface Science,
256
(2010),
5031
- 5034.
-
S. Abermann, C. Henkel, O. Bethge, C. Stralf, H. Hutter, E. Bertagnolli:
"Lanthanum-Zirconate and Lanthanum-Aluminate Based High-κ Dielectric Stacks on Silicon Substrates";
Journal of the Electrochemical Society,
156
(2009),
53
- 57.
-
S. Abermann, C. Jordan, M. Harasek, E. Bertagnolli:
"Processing and simulation of few nm thick high-k dielectric films";
Microelectronic Engineering,
83
(2006),
1571
- 1572.
-
S. Abermann, G. Pozzovivo, J. Kuzmik, C. Ostermaier, C. Henkel, O. Bethge, G. Strasser, D. Pogany, J. Carlin, N. Grandjean, E. Bertagnolli:
"Current collapse reduction in InAlN/GaN MOS HEMTs by in situ surface pre-treatment and atomic layer deposition of ZrO2 high-k gate dielectrics";
Electronics Letters,
45
(2009),
11;
570
- 572.
-
S. Abermann, G. Pozzovivo, J. Kuzmik, G. Strasser, D. Pogany, J. Carlin, N. Grandjean, E. Bertagnolli:
"MOCVD of HfO2 and ZrO2 high-κ gate dielectrics for InAlN/AlN/GaN MOS- HEMTs";
Semiconductor Science and Technology,
22
(2007),
1272
- 1275.
-
S. Ahn, E. Mujagic, M. Nobile, H. Detz, S. Kalchmair, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Electrical beam steering of Y-coupled quantum cascade lasers";
Applied Physics Letters,
96
(2010),
1411131
- 1411133.
-
S. Ahn, E. Mujagic, M. Nobile, H. Detz, C. Schwarzer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Temperature-induced beam steering of Y-coupled quantum cascade lasers";
AIP Conference Proceedings,
1399
(2012),
993
- 994.
-
S. Ahn, D. Ristanic, R. Gansch, P. Reininger, C. Schwarzer, D. MacFarland, H. Detz, W. Schrenk, G. Strasser:
"Quantum cascade lasers with a tilted facet utilizing the inherent polarization purity";
Optics Express,
22
(2014),
21;
26294
- 26301.
More information
-
S. Ahn, C. Schwarzer, S. Kalchmair, R. Gansch, D. Ristanic, T. Zederbauer, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Facet Reflectivity Reduction of Quantum Cascade Lasers by Tilted Facets";
Proceedings of SPIE,
8432
(2012),
1
- 2.
-
S. Ahn, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Enhanced light output power of quantum cascade lasers from a tilted front facet";
Optics Express,
21
(2013),
13;
15869
- 15877.
-
S. Ahn, C. Schwarzer, T. Zederbauer, D. MacFarland, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"High-power, low-lateral divergence broad area quantum cascade lasers with a tilted front facet";
Applied Physics Letters,
104
(2014),
0511011
- 0511014.
-
A. Alexewicz, M. Alomari, D. Maier, H. Behmenburg, C. Giesen, M. Heuken, D. Pogany, E. Kohn, G. Strasser:
"Current collapse reduction in InAlGaN/GaN high electron mobility transistors by surface treatment of thermally stable ultrathin in situ SiN passivation";
Solid-State Electronics,
89
(2013),
207
- 211.
-
A. Alexewicz, C. Ostermaier, C. Henkel, O. Bethge, J. Carlin, L. Lugani, N. Grandjean, E. Bertagnolli, D. Pogany, G. Strasser:
"Explanation of threshold voltage scaling in enhancement-mode InAlN/AlN-GaN metal oxide semiconductor high electron mobility transistors on Si substrates";
Thin Solid Films,
520
(2012),
6230
- 6232.
-
S.J. Allen, U. Bhattacharya, K. Campman, H. Drexler, K. Unterrainer, M.C. Wanke:
"Photon assisted transport through semiconductor quantum structures in intense terahertz electric fields";
Physica B: Condensed Matter,
227
(1996),
367.
-
S.J. Allen, K. Craig, B. Galdrikian, J.N. Heyman, J.P. Kaminski, J.S. Scott, M.S. Sherwin, K. Unterrainer, M.C. Wanke:
"Material Science in the Far-IR with Electrostatic Based FELs";
Nuclear Instruments & Methods in Physics Research Section A,
358
(1995),
536.
-
S. Anders, L. Rebohle, F. F. Schrey, W. Schrenk, K. Unterrainer, G. Strasser:
"Electroluminescence of a quantum dot cascade structure";
Applied Physics Letters,
82
(2003),
22;
3862
- 3864.
-
S. Anders, W. Schrenk, E. Gornik, G. Strasser:
"Room-temperature emission of GaAs/AlGaAs superlattice quantum cascade lasers at 12.6 µm";
Applied Physics Letters,
80
(2002),
1864.
-
S. Anders, W. Schrenk, E. Gornik, G. Strasser:
"Room-temperature operation of electrically pumped quantum-cascade microcylinder lasers";
Applied Physics Letters,
80
(2002),
4094.
-
S. Anders, W. Schrenk, A. Lugstein, G. Strasser:
"Room temperature lasing of electrically pumped quantum cascade micro-cylinders";
Physica E: Low-dimensional Systems and Nanostructures,
17
(2003),
626
- 628.
-
S. Anders, W. Schrenk, T. Roch, C. Pflügl, G. Strasser:
"Tuning quantum-cascade lasers by postgrowtz rapid thermal processing";
Applied Physics Letters,
84
(2004),
2;
164
- 166.
-
S. Anders, V. Tamosiunas, W. Schrenk, G. Strasser:
"Optical modes in mesoscopic quantum cascade ring lasers";
Physical Review B,
69
(2004),
0733091
- 0733093.
-
A. M. Andrews, P. Klang, R. Krzyzanowski, M. Schramböck, H. Detz, W. Schrenk, G. Strasser:
"Improving size distribution of InAs quantum dots for intersubband devices";
Journal of Crystal Growth,
311
(2009),
1799
- 1802.
-
A. M. Andrews, M. Nobile, H. Detz, P. Klang, E. Mujagic, W. Schrenk, G. Strasser:
"A new aluminum-free material system for intersubband emitters and detectors";
Journal of Physics: Conference Series,
193
(2009),
012065;
1
- 4.
-
A. M. Andrews, M. Schramböck, T. Roch, W. Schrenk, E. Gornik, G. Strasser:
"Independent control of InAs quantum dot density and size on AlxGa1-xAs surfaces";
Journal of Materials Science, Materials in Electronics,
19
(2008),
714
- 719.
-
A.M. Andrews, A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Doping depedence of LO-phonon depletion scheme THz quantum-cascade lasers";
Materials Science and Engineering B,
147
(2008),
152
- 155.
-
D. Andrijasevic, M. Austerer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Hybrid integration of GaAs quantum cascade lasers with Si substrates by thermocompression bonding";
Applied Physics Letters,
92
(2008),
0511171
- 0511173.
-
R. Ascazubi, O. Akin, T. Zaman, R. Kersting, G. Strasser:
"Dephasing in modulation-doped quantum structures probed by THz time-domain spectroscopy";
Applied Physics Letters,
81
(2002),
4344.
-
E. Auer, A. Lugstein, S. Löffler, Y.J. Hyun, W. Brezna, E. Bertagnolli, P. Pongratz:
"Ultrafast VLS growth of epitaxial β-Ga2O3 nanowires";
Nanotechnology,
20
(2009),
1
- 6.
-
M. Austerer, H. Detz, S. Schartner, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Nonlinear wave-mixing in twin-waveguide GaAs/AlGaAs quantum-cascade lasers";
Journal of Modern Optics,
55
(2008),
3211
- 3217.
-
M. Austerer, H. Detz, S. Schartner, M. Nobile, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Cerenkov-type phase-matched second-harmonic emission form GaAs/AlGaAs quantum-cascade lasers";
Applied Physics Letters,
92
(2008),
1111141
- 1111143.
-
M. Austerer, C. Pflügl, S. Golka, S. Schartner, W. Schrenk, R. Green, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, G. Strasser:
"Single mode quantum cascade lasers";
SPIE--The International Society for Optical Engineering,
6010
(2005),
601002.
-
M. Austerer, C. Pflügl, S. Golka, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser:
"Coherent 5.35 µm surface emission from a GaAs-based distributed feedback quantum-cascade laser";
Applied Physics Letters,
88
(2006),
1211041
- 1211043.
-
M. Austerer, S. Schartner, C. Pflügl, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Second-harmonic generation in GaAs-based qunatum cascade lasers";
Physica E: Low-dimensional Systems and Nanostructures,
35
(2006),
234
- 240.
-
A. Avdic, A. Lausch, E. Bertagnolli, A. Lugstein:
"Miniaturized Wide-Range Field-Emission Vacuum Gauge";
Nanomaterials and Nanotechnology,
4
(2014),
29.
-
A. Avdic, A. Lugstein, C. Schöndorfer, E. Bertagnolli:
"Focused ion beam generated antimony nanowires for microscale pH sensors";
Applied Physics Letters,
95
(2009),
2231061
- 2231063.
-
A. Avdic, A. Lugstein, M. Wu, B. Gollas, I. Pobelov, T. Wandlowski, K. Leonhardt, G. Denuault, E. Bertagnolli:
"Fabrication of cone-shaped boron doped diamond and gold nanoelectrodes for AFM-SECM";
Nanotechnology,
22
(2011),
1453061
- 1453066.
-
H. Bachhofer, H. Reisinger, E. Bertagnolli, H. von Philipsborn:
"Transient conduction in multidielectric silicon-oxide-nitride-oxide-semiconductor structures";
Journal of Applied Physics,
89
(2001),
2791.
-
B. Bachmann, S. Spitz, C. Jordan, P. Schuller, H. D. Wanzenböck, B. Haddadi, M. Harasek, H. Redl, W. Holnthoner, P. Ertl:
"Microvasculature-on-a-Chip: Bridging the interstitial blood-lymph interface via mechanobiological stimuli";
bioRxiv,
2021
(2021),
28 pages.
More information
-
B. Bachmann, S. Spitz, M. Rothbauer, C. Jordan, M. Purtscher, H Zirath, P. Schuller, C. Eilenberger, S. Ali, S. Mühleder, E. Oberbauer, M. Harasek, H. Redl, W. Holnthoner, P. Ertl:
"Engineering of three-dimensional pre-vascular networks within fibrin hydrogel constructs by microfluidic control over reciprocal cell signaling";
Biomicrofluidics,
12
(2018),
4;
13 pages.
-
C. Bae, J. McMahon, H. Detz, G. Strasser, J. Park, E. Einarsson, D. Eason:
"Influence of thickness on crystallinity in wafer-scale GaTe nanolayers grown by molecular beam epitaxy";
AIP Advances,
7
(2017),
035113;
035113-1
- 035113-5.
More information
-
P. Bakshi, E. Gornik, K. Kempa:
"Plasma instability in a steady-state nonequilibrium 1D solid state plasma of finite length";
Physical Review B,
B54
(1996),
8231.
-
P. Bakshi, K. Kempa, A. Scorupsky, C. Du, G. Feng, R. Zobl, G. Strasser, C. Rauch, C. Pacher, K. Unterrainer, E. Gornik:
"Plasmon-based terahertz emission from quantum well structures";
Applied Physics Letters,
75
(1999),
1685.
-
M. Bartmann, M. Sistani, S. Glassner, B. Salem, T. Baron, P. Gentile, J. Smoliner, A. Lugstein:
"Verifying the band gap narrowing in tensile strained Ge nanowires by electrical means";
Nanotechnology,
32
(2021),
145711;
1
- 5.
More information
-
M. Bartmann, M. Sistani, N. Luhmann, S. Schmid, E. Bertagnolli, A. Lugstein, J. Smoliner:
"Germanium nanowire microbolometer";
Nanotechnology,
33
(2022),
245201;
1
- 6.
More information
-
Y. Baryshnikov, P. Heider, W. Parz, V. Zharnitsky:
"Whispering Gallery Modes Inside Aymmetric Resonant Cavities";
Physical Review Letters,
93
(2004),
13;
1339021
- 1339024.
-
B. Basnar, S. Golka, E. Gornik, S. Harasek, E. Bertagnolli, M. Schatzmayer, J. Smoliner:
"Quantitative scanning capacitance microscopy investigations on p-doped Si-Multilayers";
Journal of Vacuum Science & Technology A,
19
(2001),
1808.
-
B. Basnar, H. Hirner, E. Gornik, G. Strasser:
"Fast characterisation of InAs quantum dot structures using AFM";
Journal of Crystal Growth,
264
(2004),
26
- 30.
-
B. Basnar, M. Litschauer, S. Abermann, E. Bertagnolli, G. Strasser, M.-A. Néouze:
"Layer-by-layer assembly of titania nanoparticles based ionic networks";
Chemical Communications,
47
(2011),
361
- 363.
More information
-
B. Basnar, M. Litschauer, G. Strasser, M.-A. Néouze:
"Analyzing imidazolium bridging in nanoparticle networks covalently linked to silicon substrates";
Journal of Physical Chemistry C,
116
(2012),
16;
9343
- 9350.
More information
-
B. Basnar, A. Lugstein, E. Bertagnolli, E. Gornik:
"Spectroscopic ellipsometry study of focused ion beam induced GaAs surface modification";
Thin Solid Films,
1
(2004),
540
- 544.
-
B. Basnar, E. Mujagic, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Light induced tuning of quantum cascade lasers";
Applied Physics Letters,
97
(2010),
0511061
- 0511063.
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Reversible switching of quantum cascade laser-modes using a pH-responsive polymeric cladding as transducer";
Optics Express,
16
(2008),
8557
- 8569.
-
B. Basnar, I. Willner:
"Dip-pen-nanolithographic patterning of metallic, semiconductor, and metal oxide nanostructures on surfaces";
Small,
5
(2009),
1;
28
- 44.
-
M. Baudisch, M. Wagner, H. Schneider, D. Stehr, M. Helm, P. Atkinson, Y. Huo, O. Schmidt, A. M. Andrews, G. Strasser:
"Fano effect due to ponderomotive coupling in intersubband response of semiconductor quantum wells";
Physical Review B,
86
(2012),
0753051
- 0753055.
-
E. Baumann, F. Giorgetta, D. Hofstetter, S. Golka, W. Schrenk, G. Strasser, L. Kirste, E. Feltin, J. Carlin, N. Grandjean:
"Near infrared absorption and room temperature photovoltaic response in AlN/GaN superlattices grown by metal-organic vapour-phase epitaxy";
Applied Physics Letters,
89
(2006),
0411061
- 0411063.
-
E. Baumann, F. Giorgetta, D. Hofstetter, H. Lu, X. Chen, W. Schaff, L. Eastman, S. Golka, W. Schrenk, G. Strasser:
"Intersubband photoconductivity at 1.6 µm using a strain-compensated AIN/GaN superlattice";
Applied Physics Letters,
87
(2005),
1911022
- 1911023.
-
K. Becker, M. Eder, A. Ranft, L. von Meyer, W. Zieglgänsberger, E. Kochs, H. Dodt:
"Low Dose Isoflurane Exerts Opposing Effects on Neuronal Network Excitability in Neocortex and Hippocampus";
PLoS one,
7
(2012),
6;
1
- 7.
-
K. Becker, C. Hahn, S. Saghafi, N. Jährling, M. Wanis, H. Dodt:
"Reduction of Photo Bleaching and Long Term Archiving of Chemically Cleared GFP-Expressing Mouse Brains";
PLoS one,
9(12)
(2014),
1
- 11.
More information
-
K. Becker, N. Jährling, E. Kramer, F. Schnorrer, H. Dodt:
"Ultramicroscopy: 3D reconstruction of large microscopical specimes";
Journal of Biophotonics,
1
(2008),
36
- 42.
-
K. Becker, N. Jährling, S. Saghafi, H. Dodt:
"Dehydration and Clearing of Adult Drosophila for Ultramicroscopy";
Cold Spring Harbor Protocols,
1
(2013),
681
- 682.
-
K. Becker, N. Jährling, S. Saghafi, H. Dodt:
"Dehydration and Clearing of Whole Mouse Brains and Dissected Hippocampi for Ultramicroscopy";
Cold Spring Harbor Protocols,
1
(2013),
683
- 684.
-
K. Becker, N. Jährling, S. Saghafi, H. Dodt:
"Immunostaining, Dehydration and Clearing of Mouse Embryos for Ultramicroscopy";
Cold Spring Harbor Protocols,
1
(2013),
743
- 744.
-
K. Becker, N. Jährling, S. Saghafi, H. Dodt:
"Ultramicroscopy: Light-Sheet-Based Microscopy for Imaging Centimeter-Sized Objects with Micrometer Resolution";
Cold Spring Harbor Protocols,
1
(2013),
704
- 705.
-
K. Becker, N. Jährling, S. Saghafi, R. Weiler, H. Dodt:
"Chemical Clearing and Dehydration of GFP Expressing Mouse Brains";
PLoS one,
7
(2012),
3;
1
- 6.
-
K. Becker, S. Saghafi, M. Pende, C. Hahn, H. Dodt:
"Visualizing minute details in light-sheet and confocal microscopy data by combining 3D rolling ball filtering and deconvolution";
Journal of Biophotonics,
11
(2021),
1
- 16.
-
K. Becker, S. Saghafi, M. Pende, I. Sabdyusheva Litschauer, C. Hahn, S. Foroughpour, N. Jährling, H. Dodt:
"Deconvolution of light sheet microscopy recordings";
Scientific Reports,
9
(2019),
17625-1
- 17625-14.
More information
-
K. Becker, G. Schneider, M. Eder, A. Ranft, E. Kochs, W. Zieglgänsberger, H. Dodt:
"Anaesthesia Monitoring by Recurrence Quantification Analysis of EEG Data";
PLoS one,
5
(2010),
1;
6 pages.
-
S. Becker, J Scheuermann, R. Weih, K. Rößner, C. Kistner, J. Koeth, J. Hillbrand, B. Schwarz, M. Kamp:
"Picosecond pulses from a monolithic GaSb-based passive mode-locked laser";
Applied Physics Letters,
116
(2020),
022102;
022102-1
- 022102-4.
More information
-
M Beiser, N Opacak, J. Hillbrand, G. Strasser, B. Schwarz:
"Engineering the spectral bandwidth of quantum cascade laser frequency combs";
Optics Letters,
46
(2021),
14;
3416
- 3419.
More information
-
D. Belic, M. Shawrav, E. Bertagnolli, H. D. Wanzenböck:
"Direct writing of gold nanostructures with an electron beam: On the way to pure nanostructures by combining optimized deposition with oxygen-plasma treatment";
Beilstein Journal of Nanotechnology,
8
(2017),
2530
- 2543.
-
D. Belic, M. Shawrav, M. Gavagnin, M. Stöger-Pollach, H. D. Wanzenböck, E. Bertagnolli:
"Direct-Write Deposition and Focused-Electron-Beam-Induced Purification of Gold Nanostructures";
ACS Applied Materials & Interfaces,
7
(2015),
2467
- 2479.
More information
-
S. Benter, V. Dubrovskii, M. Bartmann, A. Campo, I. Zardo, M. Sistani, M. Stöger-Pollach, S. Lancaster, H. Detz, A. Lugstein:
"Quasi One-Dimensional Metal−Semiconductor Heterostructures";
Nano Letters,
19
(2019),
6;
3892
- 3897.
More information
-
E. Benveniste, A. Vasanelli, A. Delteil, J. Devenson, R. Tessier, A. Baranov, A. M. Andrews, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Influence of the material parameters on quantum cascade devices";
Applied Physics Letters,
93
(2008),
1311081
- 1311083.
-
A. Benz, M. Brandstetter, C. Deutsch, G. Fasching, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Microdisk THz quantum-cascade lasers with super-conducting cavities";
Proceedings of SPIE,
7763
(2010),
776303-1
- 776303-7.
-
A. Benz, M. Brandstetter, C. Deutsch, K. Unterrainer, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic bandstructure engineering of THz quantum-cascade lasers";
Applied Physics Letters,
99
(2011),
20;
201103-1
- 20113-3.
-
A. Benz, C. Deutsch, M. Brandstetter, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz Active Photonic Crystals for Condensed Gas Sensing";
Sensors,
11
(2011),
6;
6003
- 6014.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, L. Hoffmann, W. Schrenk, G. Strasser:
"Photonic crystal mode terahertz lasers";
Journal of Applied Physics,
105
(2009),
122404 1
- 122404 4.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Active photonic crystal terahertz laser";
Optics Express,
Vol.17
(2009),
2;
941
- 946.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Monolithic photonic crystal quantum-cascade laser";
Journal of Physics: Conference Series,
193
(2009),
012061;
1
- 4.
-
A. Benz, G. Fasching, A.M. Andrews, M. Martl, K. Unterrainer, T. Roch, W. Schrenk, S. Golka, G. Strasser:
"Influence of doping on the performance of terahertz quantum-cascade lasers";
Applied Physics Letters,
90
(2007),
101107;
3 pages.
-
A. Benz, G. Fasching, C. Deutsch, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Terahertz photonic crystal resonators in double-metal waveguides";
Optics Express,
15
(2007),
19;
7 pages.
-
A. Benz, M. Krall, S. Schwarz, D. Dietze, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Resonant metamaterial detectors based on THz quantum-cascade structures";
Scientific Reports,
4
(2014),
4269;
1
- 10.
-
Y. Berencén, S. Prucnal, W. Moeller, R. Hübner, L. Rebohle, T. Schönherr, M. Bilal Khan, M. Wang, M. Glaser, Y. Georgiev, A. Erbe, A. Lugstein, M. Helm, S. Zhou:
"Formation of n- and p-type regions in individual Si/SiO2 core/shell nanowires by ion beam doping";
Nanotechnology,
29
(2018),
47;
474001
- 474007.
More information
-
E. Bertagnolli:
"Nanoelektronik";
E&I Elektrotechnik und Informationstechnik (invited),
9
(2003),
267
- 270.
-
O. Bethge, S. Abermann, C. Henkel, E. Bertagnolli:
"Low temperature atomic layer deposition of high-k dielectric stacks for scaled metal-oxide-semiconductor devices";
Thin Solid Films,
517
(2009),
5543
- 5547.
-
O. Bethge, S. Abermann, C. Henkel, J. Smoliner, E. Bertagnolli, C. Straif, H. Hutter:
"Atomic layer deposition temperature dependent minority carrier generation in ZrO2 /GeO2 /Ge capacitors";
Journal of Vacuum Science & Technology B,
29
(2011),
1;
018061
- 018067.
-
O. Bethge, S. Abermann, C. Henkel, C. Straif, H. Hutter, J. Smoliner, E. Bertagnolli:
"Process temperature dependent high frequency capacitance-voltage response of ZrO2/GeO2/germanium capacitors";
Applied Physics Letters,
96
(2010),
0529021
- 0529023.
-
O. Bethge, S. Abermann, C. Henkel, C. Stralf, H. Hutter, E. Bertagnolli:
"Impact of Germanium Surface Conditioning and ALD-growth Temperature on Al2O3/ZrO2 High-κ Dielectric Stacks";
Journal of the Electrochemical Society,
156
(2009),
168
- 172.
-
O. Bethge, C. Henkel, S. Abermann, G. Pozzovivo, M. Stöger-Pollach, W.S.M. Werner, J. Smoliner, E. Bertagnolli:
"Stability of La2O3 and GeO2 passivated Ge surfaces during ALD of ZrO2 high-k dielectric";
Applied Surface Science,
258
(2012),
3444
- 3449.
More information
-
O. Bethge, M. Nobile, S. Abermann, M. Glaser, E. Bertagnolli:
"ALD grown bilayer junction of ZnO:Al and tunnel oxide barrier for SIS solar cell";
Solar Energy Materials and Solar Cells,
117
(2013),
178
- 182.
-
O. Bethge, G. Pozzovivo, C. Henkel, S. Abermann, E. Bertagnolli:
"Fabrication of highly ordered nanopillar arrays and defined etching of ALD-grown all-around platinum films";
Journal of Micromechanics and Microengineering,
22
(2012),
0650131
- 0650136.
-
O. Bethge, C. Zimmermann, B. Lutzer, S. Simsek, S. Abermann, E. Bertagnolli:
"ALD Grown Rare-Earth High-k Oxides on Ge: Lowering of the Interface Trap Density and EOT Scalability";
ECS Trans.,
64
(2014),
8;
69
- 76.
-
O. Bethge, C. Zimmermann, B. Lutzer, S. Simsek, J. Smoliner, M. Stöger-Pollach, C. Henkel, E. Bertagnolli:
"Effective reduction of trap density at the Y203/Ge interface by rigorous high-temperature oxygen annealing";
Journal of Applied Physics,
116
(2014),
214111;
214111-1
- 214111-7.
More information
-
J. Bhattacharyya, S. Zybell, F. Eßer, M. Helm, H. Schneider, L. Schneebeli, C. Böttge, B. Breddermann, M. Kira, S. Koch, A. M. Andrews, G. Strasser:
"Magnetic control of Coulomb scattering and terahertz transitions among excitons";
Physical Review B,
89
(2014),
1253131
- 1253136.
-
I. Bisotto, B. Jouault, A. Raymond, W. Zawadzki, G. Strasser:
"Donor ionization energy in bulk GaAs for different donor concentrations and magnetic fields";
Physica Status Solidi A,
4
(2005),
614
- 618.
-
M. Blaho, D. Pogany, E. Gornik, M. Denison, G. Groos, M. Stecher:
"Study of internal behavior in a vertical DMOS transistor under short high current stress by an interferometric mapping method";
Microelectronics Reliability,
42
(2003),
545
- 548.
-
M. Blaho, D. Pogany, E. Gornik, L. Zullino, A. Andreini:
"Experimental and simulation analysis of a BCD ESD protection element under the DC and TLP stress conditions";
Microelectronics Reliability,
42
(2002),
1281
- 1286.
-
M. Blaho, L. Zullino, H. Wolf, R. Stella, A. Andreini, H. Gieser, D. Pogany, E. Gornik:
"Internal Behavior of BCD ESD Protection Devices Under TLP and Very-Fast TLP Stress";
IEEE Transactions on Device and Materials Reliability,
4
(2004),
3;
535
- 541.
-
T. Blanquart, M. Kaipio, J. Niinistö, M. Gavagnin, V. Longo, L. Blanquart, C. Lansalot, W. Noh, H. D. Wanzenböck, M. Ritala, M. Leskelä:
"Cyclopentadienyl precursors for the atomic layer deposition of erbium oxide thin films";
Chemical Vapor Deposition,
20
(2014),
7-8-9;
217
- 223.
-
T. Blanquart, J. Niinistö, N. Aslam, M. Banerjee, Y. Tomczak, M. Gavagnin, V. Longo, E. Puukilainen, H. D. Wanzenböck, W. Kessels, A. Devi, S. Hoffmann-Eifert, M. Ritala, M. Leskelä:
"[Zr(NEtMe)2(guan-NEtMe)2] as a Novel Atomic Layer Deposition Precursor: ZrO2 Film Growth and Mechanistic Studies";
Chemistry of Materials,
25
(2013),
3088
- 3095.
-
R Böckle, M. Sistani, K. Eysin, M. Bartmann, M. Luong, M. den Hertog, A. Lugstein, W. Weber:
"Gate-Tunable Negative Differential Resistance in Next-Generation Ge Nanodevices and their Performance Metrics";
Advanced Electronic Materials,
7
(2021),
2001178;
1
- 6.
More information
-
R Böckle, M. Sistani, B. Lipovec, D. Pohl, B. Rellinghaus, A. Lugstein, W. Weber:
"A Top‐Down Platform Enabling Ge Based Reconfigurable Transistors";
Advanced Materials Technologies,
7
(2022),
2100647;
1
- 8.
More information
-
R Böckle, M. Sistani, P. Staudinger, M. Seifner, S. Barth, A. Lugstein:
"Ge Quantum Wire Memristor";
Nanotechnology,
31
(2020),
44;
445204-1
- 445204-6.
More information
-
e. Bogner, K. Dominizi, P. Hagl, E. Bertagnolli, M. Wirth, F. Gabor, W. Brezna, H. D. Wanzenböck:
"Bridging the gap - Biocompatibility of microelectronic materials";
Acta Biomaterialia,
2
(2006),
2;
229
- 237.
-
W. Boxleitner, G. Hobler:
"FIBSIM -- Dynamic Monte Carlo simulation of compositional and topography changes caused by focused ion beam milling";
Nuclear Instruments & Methods B,
180
(2001),
125.
-
W. Boxleitner, G. Hobler, V. Klüppel, H. Cerva:
"Simulation of topography evolution and damage formation in TEM sample preparation using focused ion beams";
Nuclear Instruments & Methods B,
175-177
(2001),
102.
-
R.M. Bradley, G. Hobler:
"Second order corrections to the sputter yield of a curved surface";
Journal of Applied Physics,
129
(2021),
194301;
194301-1
- 194301-11.
More information
-
M. Brandstetter, A. Benz, C. Deutsch, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Superconducting Microdisk Cavities for THz Quantum Cascade Lasers";
IEEE Transactions on Terahertz Science and Technology,
2
(2012),
5;
550
- 555.
-
M. Brandstetter, C. Deutsch, A. Benz, G. D. Cole, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz quantum cascade lasers with wafer bonded active regions";
Optics Express,
20
(2012),
21;
23832
- 23837.
-
M. Brandstetter, C. Deutsch, M. Krall, H. Detz, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High power terahertz quantum cascade lasers with symmetric wafer bonded active regions";
Applied Physics Letters,
103
(2013),
17;
171113-1
- 171113-5.
-
M. Brandstetter, A. Genner, C. Schwarzer, E. Mujagic, G. Strasser, B. Lendl:
"Time-resolved spectral characterization of ring cavity surface emitting and ridge-type distributed feedback quantum cascade lasers by step-scan FT-IR spectroscopy";
Optics Express,
22
(2014),
3;
2656
- 2664.
More information
-
M. Brandstetter, M. A. Kainz, T. Zederbauer, M. Krall, S. Schönhuber, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, K. Unterrainer:
"InAs based terahertz quantum cascade lasers";
Applied Physics Letters,
108
(2016),
1;
011109-1
- 011109-4.
-
M. Brandstetter, M. Krall, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Influence of the facet type on the performance of terahertz quantum cascade lasers with double-metal waveguides";
Applied Physics Letters,
102
(2013),
23;
231121-1
- 231121-4.
-
M. Brandstetter, M. Liertzer, C. Deutsch, P. Klang, J. Schöberl, H.E. Türeci, G. Strasser, K. Unterrainer, S. Rotter:
"Reversing the pump-dependence of a laser at an exceptional point";
Nature Communications,
5
(2014),
4034;
1
- 7.
-
M. Brandstetter, S. Schönhuber, M. Krall, M. A. Kainz, H. Detz, T. Zederbauer, A. M. Andrews, G. Strasser, K. Unterrainer:
"Spectrally resolved far-fields of terahertz quantum cascade lasers";
Optics Express,
24
(2016),
22;
25462
- 25470.
-
R. Bratschitsch, R. Kersting, T. Müller, G. Strasser, K. Unterrainer, W. Fischler, R.A. Höpfel:
"Coherent THz plasmons in GaAs/AlGaAs superlattices";
Physica B: Condensed Matter,
272
(1999),
375
- 377.
-
R. Bratschitsch, T. Müller, N. Finger, G. Strasser, K. Unterrainer, C. Sirtori:
"Monitoring the ultrafast electric field change at a mid-infrared plasma Bragg mirror";
Optics Letters,
26
(2001),
1618.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Coherent THz emission from optically pumped intersubband plasmons in parabolic quantum wells";
Applied Physics Letters,
76
(2000),
3501.
-
R. Bratschitsch, T. Müller, G. Strasser, K. Unterrainer:
"Intersubband relaxation dynamics in semiconductor quantum structures";
Physica E: Low-dimensional Systems and Nanostructures,
13
(2002),
908.
-
G. Brauner, W. Ehrlich-Schupita, K. Unterrainer, A. Weinmann et al.:
"Visionen in der akademischen Lehre - Bakkalaureats- und Magisterstudium der Elektrotechnik an der Technischen Universität Wien";
E&I Elektrotechnik und Informationstechnik,
120
(2003),
11;
357
- 359.
More information
-
W. Brezna, G. Fasching, K. Unterrainer, G. Strasser, J. Smoliner:
"Atomic force microscopy based room temperature photocurrent-spectroscopy of single subsurface InAs quantum dots";
Physica Status Solidi (c),
1
(2009),
793
- 796.
-
W. Brezna, M. Fischer, H. D. Wanzenböck, E. Bertagnolli, J. Smoliner:
"Electron beam deposited SiO2 investigated by Scanning Capacitance Microscopy";
Applied Physics Letters,
88
(2006),
1221161
- 1221163.
-
W. Brezna, S. Harasek, H. Enichlmair, E. Bertagnolli, E. Gornik, J. Smoliner:
"Scanning Capacitance Microscopy With ZrO2 as Dielectric Material";
Journal of Applied Physics,
92
(2002),
2144.
-
W. Brezna, S. Harasek, A. Lugstein, T. Leitner, H. Hoffmann, E. Bertagnolli, J. Smoliner:
"Mapping of local oxide properties by quantitative scanning capacitance spectroscopy";
Journal of Applied Physics,
97
(2005),
0937011
- 0937014.
-
W. Brezna, T. Roch, G. Strasser, J. Smoliner:
"Quantitative scanning capacitance spectroscopy on GaAs and InAs quantum dots";
Semiconductor Science and Technology,
20
(2005),
903
- 907.
-
W. Brezna, M. Schramböck, A. Lugstein, S. Harasek, H. Enichlmair, E. Bertagnolli, E. Gornik, J. Smoliner:
"Quantitative scanning capacitance spectroscopy";
Applied Physics Letters,
83
(2003),
17;
4253
- 4255.
-
W. Brezna, J. Smoliner:
"Investigation of contact-force dependent effects in conductive atomic force microscopy on Si and GaAs";
Japanese Journal of Applied Physics,
104
(2008),
0443091
- 0443095.
-
W. Brezna, J. Smoliner:
"Spectrally resolved confocal microscopy for laser mode imaging and beam characteristic investigations";
Applied Physics Letters,
95
(2009),
201181
- 201183.
-
W. Brezna, G. Strasser, J. Smoliner:
"Force and bias dependent contrast in photocurrent imaging on GaAs-AlAs heterostructures";
Physica E: Low-dimensional Systems and Nanostructures,
40
(2008),
1229
- 1231.
-
W. Brezna, G. Strasser, J. Smoliner:
"Force- and bias-dependent contrast in atomic force microscopy based photocurrent imaging on GaAs-AlAs heterostructures";
Semiconductor Science and Technology,
22
(2007),
1209
- 1212.
-
W. Brezna, G. Strasser, J. Smoliner:
"Two color, low intensity photocurrent feedback for local photocurrent spectrscopy";
Review of Scientific Instruments,
78
(2007),
0637061
- 0637064.
-
W. Brezna, H. D. Wanzenböck, A. Lugstein, E. Bertagnolli, E. Gornik, J. Smoliner:
"Focussed Ion Beam Induced Damage in Silicon Studied by Scanning Capacitance Microscopy";
Semiconductor Science and Technology,
18
(2003),
195
- 198.
-
E. Brinciotti, G. Badino, M. Knaipp, G. Gramse, J. Smoliner, F. Kienberger:
"Calibrated Nanoscale Dopant Profiling and Capacitance of a High-Voltage Lateral MOS Transistor at 20 GHz Using Scanning Microwave Microscopy";
IEEE Transactions on Nanotechnology,
16
(2017),
2;
245
- 252.
More information
-
E. Brinciotti, G. Campagnaro, G. Badino, M. Kasper, G. Gramse, S. Tuca, J. Smoliner, T. Schweinböck, S. Hommel, F. Kienberger:
"Frequency Analysis of Dopant Profiling and Capacitance Spectroscopy Using Scanning Microwave Microscopy";
IEEE Transactions on Nanotechnology,
16
(2017),
1;
75
- 82.
-
E. Brinciotti, G. Gramse, S. Hommel, T. Schweinböck, A. Altes, M. Fenner, J. Smoliner, M. Kasper, G. Badino, S. Tuca, F. Kienberger:
"Probing resistivity and doping concentration of semiconductors at the nanoscale using scanning microwave microscopy";
Nanoscale,
7
(2015),
14715
- 14722.
-
C Brink, D Schneider, G. Ploner, G. Strasser, E. Gornik:
"Magnetophonon resonance in the confinement of an n-GaAs/AlGaAs-heterojunction, tuned to a quasi-one-dimensional quantum wire";
Physica E: Low-dimensional Systems and Nanostructures,
12
(2002),
446.
-
Bründermann, H.P. Röser, W. Heiss, E. Gornik, E. Haller:
"High repetition rate far infrared p-type Germanium hot hole lasers";
Applied Physics Letters,
67
(1995),
3543.
-
F. Brunbauer, E. Bertagnolli, A. Lugstein:
"Gate-Tunable Electron Transport Phenomena in Al−Ge⟨111⟩−Al Nanowire Heterostructures";
Nano Letters,
15
(2015),
7514
- 7518.
-
F. Brunbauer, E. Bertagnolli, J. Majer, A. Lugstein:
"Electrical transport properties of single crystal Al nanowires";
Nanotechnology,
27
(2016),
1
- 6.
-
F. Brunbauer, F. Garcia, T. Korkalainen, A. Lugstein, M. Lupberger, E. Oliveri, D. Pfeiffer, L. Ropelewski, M. Schinnerl:
"Combined Optical and Electronic Readout For Event Reconstruction in a GEM-based TPC";
IEEE Transactions on Nuclear Science,
65
(2018),
3;
6.
More information
-
M. Budil, G. Hobler:
"Topography simulation of sputtering using an algorithm with second order approximation in space";
Nuclear Instruments & Methods in Physics Research Section B,
269
(2011),
1614
- 1618.
-
J. Bulantova, T. Machacek, L. Panska, F. Krejci, J. Karch, N. Jährling, S. Saghafi, H. Dodt, P. Horak:
"Trichobilharzia regenti (Schistosomatidae): 3D imaging techniques in characterization of larval migration through the CNS of vertebrates";
Micron,
83
(2016),
62
- 71.
-
T. Burchhart, A. Lugstein, Y.J. Hyun, G. Hochleitner, E. Bertagnolli:
"Atomic Scale Alignment of Copper-Germanide Contacts for Ge Nanowire Metal Oxide Field Effect Transistors";
Nano Letters,
9
(2009),
11;
3739
- 3742.
-
T. Burchhart, A. Lugstein, C. Zeiner, Y.J. Hyun, G. Hochleitner, E. Bertagnolli:
"Nanowire-metal heterostructures for high performance MOSFETs";
E&I Elektrotechnik und Informationstechnik,
127
(2010),
6;
171
- 175.
-
T. Burchhart, C. Zeiner, Y.J. Hyun, A. Lugstein, G. Hochleitner, E. Bertagnolli:
"High performance Ω-gated Ge nanowire MOSFET with quasi-metallic source/drain contacts";
Nanotechnology,
21
(2010),
1
- 5.
-
T. Burchhart, C. Zeiner, A. Lugstein, C. Henkel, E. Bertagnolli:
"Tuning the electrical performance of Ge nanowire MOSFETs by focused ion beam implantation";
Nanotechnology,
22
(2011),
0352011
- 0352013.
-
B. Busetti, B. Steyrer, B. Lutzer, R. Reiter, J. Stampfl:
"A hybrid exposure concept for lithography-based additive manufacturing";
Additive Manufacturing,
Volume 21
(2018),
21;
413
- 421.
More information
-
B. Butej, V Padovan, D. Pogany, G. Pobegen, C. Ostermaier, C Koller:
"Method to Distinguish Between Buffer and Surface Trapping in Stressed Normally-ON GaN GITs Using the Gate-Voltage Dependence of Recovery Time Constants";
IEEE Transactions on Electron Devices,
69
(2022),
6;
3087
- 3093.
-
S. Bychikhin, V. Dubec, M. Litzenberger, D. Pogany, E. Gornik, G. Groos, K. Esmark, M. Stecher, W. Stadler, H. Gieser, H. Wolf:
"Investigation of ESD protection elements under high current stress in CDM-like time domain using backside laser interferometry";
Journal of Electrostatics,
59
(2003),
241
- 255.
-
S. Bychikhin, V. Dubec, D. Pogany, E. Gornik, M. Graf, V. Dudek, W. Soppa:
"Transient interferometric mapping of smart power SOI ESD protection devices under TLP and vf-TLP stress";
Microelectronics Reliability,
44
(2004),
1687
- 1692.
-
S. Bychikhin, G. Haberfehlner, J. Rhayem, D. Vanderstraeten, R. Gillon, D. Pogany:
"Investigation of smart power DMOS devices under repetitive stress conditions using transient thermal mapping and numerical simulation";
Microelectronics Reliability,
50
(2010),
1427
- 1430.
-
S. Bychikhin, M. Litzenberger, R. Pichler, D. Pogany, E. Gornik, G. Groos, M. Stecher:
"Thermal and free carrier laser interferometric mapping and failure analysis an "anti"-serially connected npn transistor ESD protection struc";
Microelectronics and Reliability,
41
(2001),
1501
- 1506.
-
S. Bychikhin, T. Swietlik, T. Suski, S. Porowski, P. Perlin, D. Pogany:
"Thermal analysis of InGaN/GaN (GaN substrate) laser diodes using transient interferometric mapping";
Microelectronics Reliability,
47
(2007),
1659
- 1662.
-
S. Bychikhin, L.K.J. Vandamme, D. Pogany, G. Meneghesso, E. Zanoni:
"Low frequency noise sources in as-prepared and aged GaN-based light emitting diodes";
Journal of Applied Physics,
97
(2005),
1237141
- 1237147.
-
F. Capasso, R. Paiella, R. Martini, R. Colombelli, C. Gmachl, T. L. Myers, M. Williams, C. G. Bethea, K. Unterrainer, H. Y. Hwang, D. L. Sivco, A. Y. Cho, A. M. Sergent, H. C. Liu, E. A. Whittaker:
"Quantum Cascade Lasers: Ultrahigh-Speed Operation, Optical Wireless Communication, Narrow Linewidth, and Far-Infrared Emission";
IEEE Journal of Quantum Electronics,
38
(2002),
511
- 532.
-
M. Capriotti, A. Alexewicz, C. Fleury, M. Gavagnin, O. Bethge, D. Visalli, J. Derluyn, H. D. Wanzenböck, E. Bertagnolli, D. Pogany, G. Strasser:
"Fixed interface charges between AlGaN barrier and gate stack composed of in situ grown SiN and Al2O3 in AlGaN/GaN high electron mobility transistors with normally off capability";
Applied Physics Letters,
104
(2014),
1135021
- 1135024.
-
M. Capriotti, E. Bahat-Treidel, C. Fleury, O. Bethge, C. Ostermaier, M. Rigato, S. Lancaster, F. Brunner, H. Detz, O. Hilt, J. Würfl, D. Pogany, G. Strasser:
"Effect of barrier recess on transport and electrostatic interface properties of GaN-based normally-off and normally-on metal oxide semiconductor heterostructure field effect transistors";
Solid-State Electronics,
125
(2016),
118
- 124.
More information
-
M. Capriotti, P. Lagger, C. Fleury, M. Oposich, O. Bethge, C. Ostermaier, G. Strasser, D. Pogany:
"Modeling small-signal response of GaN-based metal-insulator-semiconductor high electron mobility transistor gate stack in spill-over regime: Effect of barrier resistance and interface states";
Journal of Applied Physics,
117
(2015),
024506;
024506-1
- 024506-7.
More information
-
F. Carosella, R. Ferreira, G. Strasser, K. Unterrainer, G. Bastard:
"Blueshift of intersubband magneto-optical transitions linked to void states of thin barriers in multiple quantum well structures";
Physical Review B,
82
(2010),
0333071
- 0333074.
-
F. Carosella, C. Ndebeka-Bandou, R. Ferreira, E. Dupont, K. Unterrainer, G. Strasser, A. Wacker, G. Bastard:
"Free-carrier absorption in quantum cascade structures";
Physical Review B,
85
(2012),
0853101
- 0853109.
-
D. Cassettari, B. Hessmo, R. Folman, T. Maier, J. Schmiedmayer:
"Beam Splitter for Guided Atoms";
Physical Review Letters,
85
(2000),
5483.
-
R. Cecchini, S. Selmo, C. Wiemer, M. Fanciulli, E. Rotunno, L. Lazzarini, M. Rigato, D. Pogany, A. Lugstein, M. Longo:
"In-doped Sb nanowires grown by MOCVD for high speed phase change memories";
Elsevier - Micro and Nano Engineering,
2
(2019),
117
- 121.
More information
-
R. Chen, W. Gao, X. Wang, G. Aizin, J. Mikalopas, T. Arikawa, K. Tanaka, D. Eason, G. Strasser, J. Kono, J. Bird:
"High-Voltage Breakdown and the Gunn Effect in GaAs/AlGaAs Nanoconstrictions";
IEEE Transactions on Nanotechnology,
14
(2015),
3;
524
- 530.
More information
-
R. Chen, J. Song, T. Lin, G. Aizin, Y. Kawano, N. Aoki, Y. Ochiai, V. Whiteside, B. McCombe, D. Thomas, M. Einhorn, J. Reno, G. Strasser, J. Bird:
"Terahertz Detection With Nanoscale Semiconductor Rectifiers";
IEEE Sensors Journal,
6814
(2012),
24
- 30.
-
S. Chen, A. Griffoni, P. Srivastava, D. Linten, S. Thijs, M. Scholz, M. Denis, A. Gallerano, D. Lafonteese, A. Concannon, V. Vashchenko, P. Hopper, S. Bychikhin, D. Pogany, M. Van Hove, S. Decoutere, G. Groeseneken:
"HBM ESD Robustness of GaN-on-Si Schottky Diodes";
IEEE Transactions on Device and Materials Reliability,
12
(2012),
4;
589
- 598.
-
M.-H. Chien, M. Shawrav, K. Hingerl, P. Taus, M. Schinnerl, H. D. Wanzenböck, S. Schmid:
"Analysis of carbon content in direct-write plasmonic Au structures by nanomechanical scanning absorption microscopy";
Journal of Applied Physics,
129
(2021),
063105;
1
- 8.
-
J. Choi, N. Vagidov, A. Sergeev, S. Kalchmair, G. Strasser, F. Vasko, V. Mitin:
"Asymmetrically Doped GaAs/AlGaAs Double-Quantum-Well Structure for Voltage-Tunable Infrared Detection";
Japanese Journal of Applied Physics,
51
(2012),
0740041
- 0740044.
-
K. Cico, D. Gregusova, S. Gazi, J. Soltys, J. Kuzmik, J. Carlin, N. Grandjean, D. Pogany, K. Fröhlich:
"Optimization of the ohmic contact processing in InAlN/GaN high electron mobility transistors for lower temperature of annealing";
Physica Status Solidi (c),
7
(2010),
1;
108
- 111.
-
K. Cico, D. Gregusova, J. Kuzmik, M. Jurkovic, A. Alexewicz, M. Poisson, D. Pogany, G. Strasser, S. Delage, K. Fröhlich:
"Influence of processing and annealing steps on electrical properties of InAlN/GaN high electron mobility transistor with Al2O3 gate insulation and passivation";
Solid-State Electronics,
67
(2012),
74
- 78.
-
K. Cico, K. Husekova, M. Tapajna, D. Gregusova, R. Stoklas, J. Kuzmik, J. Carlin, N. Grandjean, D. Pogany, K. Fröhlich:
"Electrical properties of InAlN/GaN high electron mobility transistor with Al2O3, ZrO2, and GdScO3 gate dielectrics";
Journal of Vacuum Science & Technology B,
29
(2011),
1;
8081
- 8085.
-
K. Cico, J. Kuzmik, D. Gregusova, R. Stoklas, T. Lalinsky, A. Georgakilas, D. Pogany, K. Fröhlich:
"Optimization and performance of Al2O3/GaN metal-oxide-semiconductor structures";
Microelectronics Reliability,
47
(2007),
790
- 793.
-
K. Cico, J. Kuzmik, J. Liday, K. Husekova, G. Pozzovivo, J. Carlin, N. Grandjean, D. Pogany, P. Vogrincic, K. Fröhlich:
"InAlN/GaN metal-oxide-semiconductor high electron mobility transistor with Al2O3 insulating films grown by metal organic chemical vapor deposition using Ar and NH3 carrier gases";
Journal of Vacuum Science & Technology B,
27
(2009),
1;
218
- 222.
-
L. Columbo, M. Piccardo, F. Prati, L. Lugiato, M. Brambilla, A. Gatti, C. Silvestri, M. Gioannini, N Opacak, B. Schwarz, F. Capasso:
"Unifying Frequency Combs in Active and Passive Cavities: Temporal Solitons in Externally Driven Ring Lasers";
Physical Review Letters,
126
(2021),
173903;
173903-1
- 173903-6.
More information
-
M. Coquelin, A. M. Andrews, P. Klang, G. Strasser, P. Bakshi, E. Gornik:
"Terahertz emission from resonant intersubband plasmons";
AIP Conference Proceedings,
1399
(2011),
489
- 490.
-
M. Coquelin, C Pacher, M. Kast, G. Strasser, E. Gornik:
"Wannier-Stark level anticrossing in biperiodic superlattices";
Physica Status Solidi B - Basic Solid State Physics,
243
(2006),
14;
3692
- 3695.
-
M. Coquelin, G. Strasser, E. Gornik, P. Bakshi, M. Ciftan:
"Controlled generation of resonant electron-electron scattering induced current in quantum well structures";
Applied Physics Letters,
95
(2009),
1721081
- 1721083.
-
A Cvetanovic, A. Cvetanovic, D. Andrijasevic, I. Giouroudi, W. Brenner:
"Development of a novel protective cover for micro manipulation process in the SEM chamber";
Microsystem Technologies - Micro- and Nanosystems - Information Storage and Processing Systems,
14
(2008),
8;
1143
- 1148.
More information
-
A Cvetanovic, A. Cvetanovic, A. Deutschinger, M. Soucek, D. Andrijasevic, W. Brenner:
"Micro assembly in a SEM chamber and the solution for collision prevention";
Microsystem Technologies - Micro- and Nanosystems - Information Storage and Processing Systems,
14
(2008),
6;
835
- 839.
More information
-
A. Dabrowska, M. David, S. Freitag, A. M. Andrews, G. Strasser, B. Hinkov, A. Schwaighofer, B. Lendl:
"Broadband laser-based mid-infrared spectroscopy employing a quantum cascade detector for milk protein analysis";
Sensors and Actuators B: Chemical,
350
(2022),
130873;
130873
- 130880.
More information
-
J. Darmo, R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Few-Cycle THz Generation for Imaging and Tomography Applications";
Physics in Medicine and Biology,
47
(2002),
3691
- 3697.
-
J. Darmo, T. Müller, W. Parz, J. Kröll, G. Strasser, K. Unterrainer:
"Few-cycle terahertz generation and spectroscopy of nanostruture";
Philosophical Transactions of the Royal Society of London A,
362
(2004),
251
- 262.
-
J. Darmo, T. Müller, G. Strasser, K. Unterrainer, T. Le, A. Stingl, G. Tempea:
"Voltage-controlled intracavity terahertz generator for self-starting Ti:Sapphire lasers";
Optics Letters,
27
(2002),
1941
- 1943.
-
J. Darmo, T. Müller, G. Strasser, K. Unterrainer, G. Tempea:
"Terahertz emitter with integrated semiconductor Bragg mirror";
Electronics Letters,
39
(2003),
460
- 462.
-
J. Darmo, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer:
"Surface-modified GaAs terahertz plasmon emitter";
Applied Physics Letters,
81
(2002),
5;
871
- 873.
-
J. Darmo, G. Strasser, T. Roch, T. Müller, K. Unterrainer, G. F. Tempea, T. Le, A. Stingl:
"New generation of photoconductive few-cycle Terahertz emitters";
Ultrafast Optics IV,
4
(2003),
407
- 412.
-
J. Darmo, V. Tamosiunas, G. Fasching, J. Kröll, K. Unterrainer, M. Beck, M. Giovannini, J. Faist, C. Kremser, P. Debbage:
"Imaging with a Terahertz quantum cascade laser";
Optics Express,
12
(2004),
9;
1879
- 1884.
-
M. David, A. Dabrowska, M. Sistani, I. Doganlar, E. Hinkelmann, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov:
"Octave-spanning low-loss mid-IR waveguides based on semiconductor-loaded plasmonics";
Optics Express,
29
(2021),
26;
43567
- 43579.
More information
-
M. David, D. Disnan, A. Lardschneider, D. Wacht, H.T. Hoang, G. Ramer, H. Detz, B. Lendl, U. Schmid, G. Strasser, B. Hinkov:
"Structure and mid-infrared optical properties of spin-coated polyethylene films developed for integrated photonics applications";
Optical Materials Express,
12
(2022),
6/1;
2168
- 2180.
-
M. David, G. Schmid:
"Dosimetric analysis of hands exposure during handling of strong permanent magnets";
Journal of Radiological Protection,
40
(2022),
2;
520
- 529.
-
K. Deininger, M. Eder, E. Kramer, W. Zieglgänsberger, H. Dodt, K. Dornmair, J. Colicelli:
"The Rab5 guanylate exchange factor Rin1 regulates endocytosis of the EphA4 receptor in mature excitatory neurons";
PNAS - Proceedings of the National Academy of Sciences of the United States of America,
105
(2008),
34;
12539
- 12544.
-
J. Delaforce, M. Sistani, R. Kramer, M. Luong, N. Roch, W. Weber, M. den Hertog, E. Robin, C. Naud, A. Lugstein, O. Buisson:
"Al-Ge-Al Nanowire Heterostructure: From Single-Hole Quantum Dot to Josephson Effect";
Advanced Materials,
33
(2021),
2101989;
2101989-1
- 2101989-9.
More information
-
M. Denison, M. Blaho, P. Rodin, V. Dubec, D. Pogany, D Silber, E. Gornik, M. Stecher:
"Moving Current Filaments in Integrated DMOS Transistors Under Short-Duration Current Stress";
IEEE Transactions on Electron Devices,
51
(2004),
10;
1695
- 1703.
-
H. Detz:
"Thermal expansion of III-V materials in atomistic models using empirical Tersoff potentials";
Electronics Letters,
51
(2015),
16;
1455
- 1457.
-
H. Detz, A. M. Andrews, M. A. Kainz, S. Schönhuber, T. Zederbauer, D. MacFarland, M. Krall, C. Deutsch, M. Brandstetter, P. Klang, W. Schrenk, K. Unterrainer, G. Strasser:
"Evaluation of Material Systems for THz Quantum Cascade Laser Active Regions";
Physica Status Solidi A,
1800504
(2018),
1
- 8.
More information
-
H. Detz, A. M. Andrews, M. Nobile, P. Klang, E. Mujagic, G. Hesser, W. Schrenk, F. Schäffler, G. Strasser:
"Intersubband optoelectronics in the InGaAs/GaAsSb material system";
Journal of Vacuum Science & Technology B,
28
(2010),
3;
19
- 23.
-
H. Detz, P. Klang, A. M. Andrews, A. Lugstein, M. Steinmair, Y.J. Hyun, E. Bertagnolli, W. Schrenk, G. Strasser:
"Growth of one-dimensional III-V structures on Si nanowires and pre-treated planar Si surfaces";
Journal of Crystal Growth,
311
(2009),
1859
- 1862.
-
H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Si doping of MBE grown bulk GaAsSb on InP";
Journal of Crystal Growth,
323
(2011),
42
- 44.
-
H. Detz, M. Kriz, S. Lancaster, D. MacFarland, M. Schinnerl, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Lithography-free positioned GaAs nanowire growth with focused ion beam implantation of Ga";
Journal of Vacuum Science & Technology B,
35
(2017),
011803;
011803-1
- 011803-5.
More information
-
H. Detz, M. Kriz, D. MacFarland, S. Lancaster, T. Zederbauer, M. Capriotti, A. M. Andrews, W. Schrenk, G. Strasser:
"Nucleation of Ga droplets on Si and SiOx surfaces";
Nanotechnology,
26
(2015),
31;
3156011
- 3156018.
-
H. Detz, D. MacFarland, T. Zederbauer, S. Lancaster, A. M. Andrews, W. Schrenk, G. Strasser:
"Growth rate dependence of boron incorporation into BxGa1-xAs layers";
Journal of Crystal Growth,
477
(2017),
77
- 81.
More information
-
H. Detz, M. Nobile, C. Deutsch, P. Klang, A. M. Andrews, A. Benz, W. Schrenk, K. Unterrainer, G. Strasser:
"Improved InGaAs/GaAsSb quantum cascade laser active region designs";
Journal of Modern Optics,
58
(2011),
21;
1
- 2015.
-
H. Detz, J. Silvano de Sousa, H. Leonhardt, P. Klang, T. Zederbauer, A. M. Andrews, W. Schrenk, J. Smoliner, G. Strasser:
"InGaAs/GaAsSb based two-dimensional electron gases";
Journal of Vacuum Science & Technology B,
32
(2014),
02C104;
02C104-1
- 02C104-6.
More information
-
H. Detz, G. Strasser:
"Atomistic modeling of bond lengths in random and ordered III-V alloys";
Journal of Applied Physics,
114
(2013),
1235081
- 1235086.
-
H. Detz, G. Strasser:
"Modeling the elastic properties of the ternary III-V alloys InGaAs, InAlAs and GaAsSb using Tersoff potentials for binary compounds";
Semiconductor Science and Technology,
28
(2013),
0850111
- 0850117.
-
C. Deutsch, A. Benz, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, T. Kubis, P. Vogl, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade lasers based on type II InGaAs/GaAsSb/InP";
Applied Physics Letters,
26512
(2010),
261110;
261110-1
- 261110-3.
-
C. Deutsch, H. Detz, M. Krall, M. Brandstetter, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Dopant migration effects in terahertz quantum cascade lasers";
Applied Physics Letters,
102
(2013),
201102;
201102-1
- 201102-4.
-
C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, P. Klang, T. Kubis, G. Klimeck, M. E. Schuster, W. Schrenk, G. Strasser, K. Unterrainer:
"Probing scattering mechanisms with symmetric quantum cascade lasers";
Optics Express,
21
(2013),
6;
7209
- 7215.
-
C. Deutsch, H. Detz, T. Zederbauer, M. Krall, M. Brandstetter, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"InGaAs/GaAsSb/InP terahertz quantum cascade lasers";
Journal of Infrared, Millimeter, and Terahertz Waves,
34
(2013),
5-6;
374
- 385.
-
C. Deutsch, M. A. Kainz, M. Krall, M. Brandstetter, D. Bachmann, S. Schönhuber, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, M. Beck, K. Ohtani, J. Faist, G. Strasser, K. Unterrainer:
"High-Power Growth-Robust InGaAs/InAlAs Terahertz Quantum Cascade Lasers";
ACS Photonics,
4
(2017),
4;
957
- 962.
-
C. Deutsch, M. Krall, M. Brandstetter, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"High performance InGaAs/GaAsSb terahertz quantum cascade lasers operating up to 142 K";
Applied Physics Letters,
101
(2012),
21;
2111171
- 2111174.
-
C. Deutsch, E. Mujagic, A. Benz, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser, K. Unterrainer:
"Surface-emitting Terahertz Quantum Cascade Ring Lasers";
Proceedings of SPIE,
7763
(2010),
776305-1
- 776305-7.
-
A. Deutschinger, U. Schmid, M. Schneider, W. Brenner, H. D. Wanzenböck, B. Volland, T. Ivanov, I. Rangelow:
"Characterization of an electro-thermal micro gripper and tip sharpening using FIB technique";
Microsystem Technologies - Micro- and Nanosystems - Information Storage and Processing Systems,
16
(2010),
11;
1901
- 1908.
More information
-
D. Dietze, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer, J. Darmo:
"Ultrastrong coupling of intersubband plasmons and terahertz metamaterials";
Applied Physics Letters,
103
(2013),
201106;
201106-1
- 201106-5.
-
D. Dietze, A. Benz, G. Strasser, K. Unterrainer, J. Darmo:
"Terahertz meta-atoms coupled to a quantum well intersubband transition";
Optics Express,
19
(2011),
14;
13700
- 13706.
-
L. Dobusch, M. M. Furchi, A. Pospischil, T. Müller, E. Bertagnolli, A. Lugstein:
"Electric field modulation of thermovoltage in single-layer MoS2";
Applied Physics Letters,
105
(2014),
253103.
-
H. Dodt:
"The superresolved brain";
Science,
347
(2015),
6221;
474
- 475.
-
H. Dodt, U. Leischner, A. Schierloh, N. Jährling, C. Mauch, K. Deininger, J. Deussing, M. Eder, W. Zieglgänsberger, K. Becker:
"Ultramicroscopy: three-dimensional visualization of neuronal networks in the whole mouse brain";
Nature Methods,
4
(2007),
4;
331
- 336.
-
H. Dodt, S. Saghafi, K. Becker, N. Jährling, C. Hahn, M. Pende, M. Wanis, A. Niendorf:
"Ultramicroscopy: development and outlook";
Neurophotonics,
2
(2015),
4;
0414071
- 0414078.
-
N. Dörr, I. Gebeshuber, D. Holzer, H. D. Wanzenböck, A. Ecker, A. Pauschitz, F. Franek:
"Evaluation of Ionic Liquids as Lubricants";
Journal of Microengineering and Nanoelectronics,
1
(2010),
1;
29
- 34.
More information
-
D. Donoval, A. Chvala, R. Sramaty, J. Kovac, J. Carlin, N. Grandjean, G. Pozzovivo, J. Kuzmik, D. Pogany, G. Strasser, P. Kordos:
"Current transport and barrier height evaluation in Ni/InAlN/GaN Schottky diodes";
Applied Physics Letters,
96
(2010),
2235011
- 2235013.
-
V Drˇínek, Z. Remes, M. Klementová, L. Palatinus, M. Jarosová, A. Lugstein, M. Sistani, M. Kostejn, V. Jandová, R. Fajgar:
"Ytterbium silicide nanostructures prepared by pulsed laser ablation inoven: Structural and electrical characterization";
Materials Letters,
246
(2019),
17
- 19.
More information
-
Van An Du, A. Sidorenko, O. Bethge, S. Paschen, E. Bertagnolli, U. Schubert:
"Iron silicide nanoparticles in a SiC/C matrix from organometallic polymers: characterization and magnetic properties";
Journal of Materials Chemistry,
21
(2011),
33;
12232
- 12238.
More information
-
V. Dubec, S. Bychikhin, M. Blaho, M. Heer, D. Pogany, M. Denison, N. Jensen, M. Stecher, G. Groos, E. Gornik:
"Multiple-time-instant 2D thermal mapping during a single ESD event";
Microelectronics Reliability,
44
(2004),
1793
- 1798.
-
V. Dubec, S. Bychikhin, M. Blaho, D. Pogany, E. Gornik, J. Willemen, N. Qu, W. Wilkening, L. Zullino, A. Andreini:
"A dual-beam Michelson interferometer for investigation of tigger dynamics in ESD protection devices under ";
Microelectronics Reliability,
43
(2003),
1557
- 1561.
-
V. Dubec, S. Bychikhin, D. Pogany, E. Gornik, T. Brodbeck, W. Stadler:
"Backside Interferometric Methods for Localization of ESD-Induced Leakage Current and Metal Shorts";
Microelectronics Reliability,
47
(2007),
1539
- 1544.
-
M. Dür, K. Unterrainer, E. Gornik:
"Band warping induced transverse population inversion of hot heavy holes in germanium at high electric fields";
Physical Review B,
B52
(1995),
10701.
-
V. Dziom, A. M. Shuvaev, D. MacFarland, G. Strasser, A. Pimenov et al.:
"High-frequency breakdown of the integer quantum Hall effect in GaAs/AlGaAs heterojunctions";
Physical Review B,
99
(2019),
045305;
045305-1
- 045305-8.
-
C. Ebm, G. Hobler:
"Assessment of approximations for efficient topography simulation of ion beam processes: 10 keV Ar on Si";
Nuclear Instruments & Methods B,
267
(2009),
2987
- 2990.
-
C. Ebm, G. Hobler, S. Waid, H. D. Wanzenböck:
"Modeling of precursor coverage in ion-beam induced etching and verification with experiments using XeF2 on SiO2";
Journal of Vacuum Science & Technology B,
28
(2010),
5;
946
- 951.
-
C. Ebm, G. Hobler, S. Waid, H. D. Wanzenböck:
"Quantitative simulation of ion-beam induced deposition of nanostructures";
Journal of Vacuum Science & Technology B,
29
(2011),
1;
0110311
- 0110315.
-
C. Ebm, E. Platzgummer, H. Löschner, S. Eder-Kapl, P. Jöchl, M. Kümmel, R. Reitinger, G. Hobler, A. Köck, R. Hainberger, M. Wellenzohn, F. Letzkus, M. Irmscher:
"Ion multibeam nanopatterning for photonic applications: Experiments and simulations, including study of precursor gas induced etching and deposition";
Journal of Vacuum Science & Technology B,
27
(2009),
6;
2668
- 2673.
-
C. Eckhardt, W. Brezna, O. Bethge, E. Bertagnolli, J. Smoliner:
"Tip geometry effects in scanning capacitance microscopy on GaAs Schottky and metal-oxide-semiconductor-type junctions";
Journal of Applied Physics,
105
(2009),
1137091
- 1137095.
-
C. Eckhardt, W. Brezna, J. Silvano de Sousa, O. Bethge, E. Bertagnolli, J. Smoliner:
"Geometry effectsandfrequencydependenceinscanning capacitance microscopyonGaAsSchottkyand metal-oxide-semiconductor-Typejunctions";
Physica E: Low-dimensional Systems and Nanostructures,
42
(2010),
1196
- 1199.
-
C. Eckhardt, M. Madl, W. Brezna, J. Smoliner:
"A quantitative analysis of photocurrent signals measured on GaAs using conductive atomic force microscopy";
Journal of Applied Physics,
109
(2011),
0343081
- 0343084.
-
C. Eckhardt, J. Silvano de Sousa, W. Brezna, O. Bethge, E. Bertagnolli, J. Smoliner:
"Frequency dependent capacitance spectroscopy using conductive diamond tips on GaAs/Al2O3 junctions";
Journal of Applied Physics,
107
(2010),
0643201
- 0643204.
-
M. Eckhardt, A. Schwanhäußer, L. Robledo, S. Malzer, G. Döhler, M. Betz, S. Trumm, A. Leitenstorfer, T. Müller, K. Unterrainer:
"Exotic transport regime in GaAs: absence of intervalley scattering leading to quasi-ballistic, real-space THz oscillations";
Semiconductor Science and Technology,
19
(2004),
195
- 198.
-
A. Edelmann, C. Ruzicka, J. Frank, B. Lendl, W. Schrenk, E. Gornik, G. Strasser:
"Towards functional group specific detection in high-performance liquid chromatography using mit infrared quantum cascade lasers";
Journal of Chromatography A,
934
(2001),
123.
-
C. Eder, J. Smoliner, G. Böhm, G. Weimann:
"Room temperature current imaging tunneling spectroscopy of GaAs/AlGaAs quantum wires at ambient pressure";
Semiconductor Science and Technology,
11
(1996),
1239.
-
C. Eder, J. Smoliner, R. Heer, G. Strasser, E. Gornik:
"Probing of Superlattice Minibands by Ballistic Electron Emission Microscopy";
Physica,
E 2
(1998),
850.
-
C. Eder, J. Smoliner, G. Strasser, E. Gornik:
"Ballistic electron emission microscopy in liquid helium using low dimensional collector electrodes";
Applied Physics Letters,
69
(1996),
1725.
-
C. Eder, J. Smoliner, G. Strasser, E. Gornik:
"BEEM Studies on GaAs/AlGaAs quantum wire structures";
Superlattices and Microstructures,
20
(1996),
357.
-
C. Eder, J. Smoliner, G. Strasser:
"Local barrier heights on quantum wires determined by ballistic electron emission spectroscopy";
Applied Physics Letters,
68
(1996),
2876.
-
M. Eggeling, T. Dimopoulos, T. Uhrmann, O. Bethge, R. Heer, V. Höink, H. Brückl:
"Low spin current-driven dynamic excitations and metastability in spin-valve nanocontacts with unpinned artificial antiferromagnet";
Applied Physics Letters,
98
(2011),
0425041
- 0425043.
-
A. Ehsani, S. Saghafi, M. Ghoranneviss, M. Hantezadeh, H. Hosseini, H. Dodt:
"Alteration of optical and morphological properties of polycarbonate illuminated by visible/IR laser beams";
Journal of the European Optical Society,
5
(2010),
1003251
- 1003256.
-
F. Eickemeyer, R. Reimann, M. Woerner, T. Elsaesser, S. Barbieri, C. Sirtori, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer:
"Ultrafast coherent electron transport in semiconductor quantum cascade structures";
Physical Review Letters,
89
(2002),
047402.
-
K. El hajraoui, M. Luong, E. Robin, F. Brunbauer, C. Zeiner, A. Lugstein, P. Gentile, J. Rouviere, M. den Hertog:
"In Situ Transmission Electron Microscopy Analysis of Aluminum−";
Nano Letters,
19
(2019),
2897
- 2904.
More information
-
K. El hajraoui, E. Robin, C. Zeiner, A. Lugstein, S. Kodjikian, J. Rouviere, M. den Hertog:
"In Situ Transmission Electron Microscopy Analysis of Copper-Germanium Nanowire Solid-State Reaction";
Nano Letters,
19
(2019),
8365
- 8371.
More information
-
F. Elsholz, A. Wacker, E. Schöll, M. Kast, G. Strasser, E. Gornik:
"Magnetotransport through semiconductor superlattices";
Physical Review B,
63
(2001),
33312.
-
A. Ertl, P.O. Kellermann, M. Zehetmayer, A. Schoggl, P. Kindl, A.H. Maith:
"A novel 675.2 nm diode laser densitometer for use with GafChromic films";
Medical Physics,
26 (5)
(1999),
834
- 838.
-
A. Ertürk, K. Becker, N. Jährling, C. Mauch, C. Hojer, J. Egen, F. Hellal, F. Bradke, M. Sheng, H. Dodt:
"Three-dimensional imaging of solvent-cleared organs using 3DISCO";
Nature Protocols,
7
(2012),
11;
1983
- 1995.
-
A. Ertürk, C. Mauch, F. Hellal, F. Förstner, T. Keck, K. Becker, N. Jährling, H. Steffens, M. Richter, M. Hübener, E. Kramer, F. Kirchhoff, H. Dodt, F. Bradke:
"Three-dimensional imaging of the unsectioned adult spinal cord to assess axon regeneration and glial responses after injury";
Nature Medicine,
18
(2012),
1;
166
- 172.
-
J. Esfandyari, Ch. Schmeiser, S. Senkader, G. Hobler, B. Murphy:
"Computer simulation of oxygen precipitation in Cz-grown silicon during HI-LO-HI anneals";
Journal of the Electrochemical Society,
143(3)
(1996),
995
- 1001.
-
G. Fafilek, S. Harasek:
"Voltammetric Measurements on MexOy (Me=Bi, Cu, V) compounds and comparison with results for BICUV";
Solid State Ionics,
1-4
(1999),
119;
91
- 96.
More information
-
G. Fasching, A. Benz, C. Deutsch, A.M. Andrews, R. Zobl, P. Klang, W. Schrenk, G. Strasser, V. Tamosiunas, K. Unterrainer:
"Dynamical frequency pulling of degenerated and nondegenerated modes in small mode volume whispering-gallery terahertz quantum-cascade lasers";
Physica E: Low-dimensional Systems and Nanostructures,
40
(2008),
6;
1840
- 1843.
-
G. Fasching, A. Benz, K. Unterrainer, R. Zobl, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Terahertz microcavity quantum-cascade lasers";
Applied Physics Letters,
87
(2005),
211112.
-
G. Fasching, A. Benz, R. Zobl, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser, V Tamosiunas, K. Unterrainer:
"Microcavity THz quantum cascade laser";
Physica E: Low-dimensional Systems and Nanostructures,
32
(2006),
316
- 319.
-
G. Fasching, A. Benz, R. Zobl, A.M. Andrews, W. Schrenk, G. Strasser, V Tamosiunas, K. Unterrainer:
"Microcavity terahertz quantum-cascade laser";
SPIE-Int. Soc. Opt. Eng,
6010
(2005),
601006.
-
G. Fasching, C. Deutsch, A. Benz, A. M. Andrews, P. Klang, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
"Electrically Controlled Optical Coupling Terahertz photonic Molecule Lasers";
Optics Express,
17
(2009),
22;
20321
- 20326.
-
G. Fasching, F. Schrey, W. Brezna, J. Smoliner, G. Strasser, K. Unterrainer:
"Photocurrent spectroscopy of single InAs/GaAs quantum dots";
Physica Status Solidi (c),
2
(2005),
3114.
-
G. Fasching, F. Schrey, T. Roch, A.M. Andrews, W. Brezna, J. Smoliner, G. Strasser, K. Unterrainer:
"Single InAs/GaAs quantum dots: photoncurrent and cross-sectional AFM analysis";
Physica E: Low-dimensional Systems and Nanostructures,
32
(2006),
183
- 186.
-
G. Fasching, V. Tamosiunas, A. Benz, A.M. Andrews, K. Unterrainer, R. Zobl, T. Roch, W. Schrenk, G. Strasser:
"Subwavelength microdisk and microring terahertz quantum-cascade lasers";
IEEE Journal of Quantum Electronics,
43
(2007),
8;
687
- 697.
-
G. Fasching, K. Unterrainer, W. Brezna, J. Smoliner, G. Strasser:
"Tracing deeply buried InAs/GaAs quantum dots using atomic force microscopy and wet chemical etching";
Applied Physics Letters,
86
(2005),
063111.
-
L. Ferdosizadeh, S. Sadat-Noori, N. Zare, S. Saghafi:
"Assessment of Diode Laser Pretreatments on Germination and Yield of Wheat (Triticum aestivum L.) under Salinity Stress";
World Journal of Agricultural Sciences,
1
(2013),
1;
5
- 9.
-
R. Ferreira, G. Bastard, C. Sirtori, G. Strasser, K. Unterrainer:
"Two-electron states bound to interface defects in quantum cascade lasers subjected to a strong magnetic field";
Physical Review B,
76
(2007),
5 pages.
-
N. Finger, E. Gornik:
"Analysis of Metallized-Grating Coupled Twin-Waveguide Structures";
IEEE Journal of Quantum Electronics,
QE-35, No. 5
(1999),
832.
-
N. Finger, W. Schrenk, E. Gornik:
"Analysis of TM-polarized laser structures with metal surface gratings";
IEEE Journal of Quantum Electronics,
36
(2000),
780.
-
M. Fischer, H. D. Wanzenböck, J. Gottsbachner, S. Müller, W. Brezna, M. Schramböck, E. Bertagnolli:
"Direct-Writing with a Focused Electron Beam";
Microelectronic Engineering,
83
(2006),
784
- 787.
-
W. Fischler, R. Bratschitsch, R.A. Höpfel, G. Zandler, G. Strasser, K. Unterrainer:
"The lower branch of plasmonphonon coupled modes";
Semiconductor Science and Technology,
15
(2000),
813.
-
C. Fleury, M. Capriotti, M. Rigato, O. Hilt, J. Würfl, J. Derluyn, S. Steinhauer, A. Köck, G. Strasser, D. Pogany:
"High temperature performances of normally-off p-GaN gate AlGaN/GaN HEMTs on SiC and Si substrates for power applications";
Microelectronics Reliability,
55
(2015),
9-10;
1687
- 1691.
-
C. Fleury, G. Notermans, H. Ritter, D. Pogany:
"TIM, EMMI and 3D TCAD analysis of discrete-technology SCRs";
Microelectronics Reliability,
76-77
(2017),
698
- 702.
-
C. Fleury, W. Simbürger, D. Pogany:
"Effect of TLP rise time on ESD failure modes of collector-base junction of SiGe heterojunction bipolar transistors";
Microelectronics Reliability,
100-101
(2019),
113331;
1
- 6.
-
C. Fleury, R. Zhytnytska, S. Bychikhin, M. Cappriotti, O. Hilt, D. Visalli, G. Meneghesso, E. Zanoni, J. Würfl, J. Derluyn, G. Strasser, D. Pogany:
"Statistics and localisation of vertical breakdown in AlGaN/GaN HEMTs on SiC and Si substrates for power applications";
Microelectronics Reliability,
53
(2013),
1444
- 1449.
-
R. Folman, P. Krüger, D. Cassettari, B. Hessmo, T. Maier, J. Schmiedmayer:
"Controlling Cold Atoms using Nanofabricated Surfaces:Atom Chips";
Physical Review Letters,
84
(2000),
4749.
-
F. Fuchs, M. Khan, D. Deb, D. Pohl, J. Schuster, W. Weber, U. Mühle, M. Löffler, Y. Georgiev, A. Erbe, S. Gemming:
"Formation and Crystallographic Orientation of NiSi2-Si Interfaces";
Journal of Applied Physics,
128
(2020),
08530101
- 08530111.
-
C. Fürböck, K. Esmark, M. Litzenberger, D. Pogany, G. Groos, R. Zelsacher, M. Stecher, E. Gornik:
"Thermal and free carrier concentration mapping during ESD event in smart power ESD protection devices using a modified laser interferometry technique";
Microelectronics and Reliability,
40
(2000),
1365
- 1370.
-
C. Fürböck, M. Litzenberger, D. Pogany, E. Gornik, N. Seliger, T. Müller-Lynch, M. Stecher, H. Goßner, W. Werner:
"Laser interferometric methode for ns-time scale thermal mapping of Smart Power ESD protection devices during ESD stress";
Microelectronic Reliability,
39
(1999),
925;
930.
-
C. Fürböck, D. Pogany, M. Litzenberger, E. Gornik, N. Seliger, H. Gossner, T. Müller-Lynch, M. Stecher, W. Werner:
"Interferometric temperature mapping during ESD stress and failure analysis of smart power technology ESD protection devices";
Journal of Electrostatics,
49
(2000),
195
- 213.
-
M. M. Furchi, A. Urich, A. Pospischil, G. Lilley, K. Unterrainer, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, T. Müller:
"Microcavity-Integrated Graphene Photodetector";
Nano Letters,
12
(2012),
6;
2773
- 2777.
-
N. Gächter, F. Könemann, M. Sistani, M. Bartmann, M. Sousa, P. Staudinger, A. Lugstein, B. Gotsmann:
"Spatially Resolved Thermoelectric Effects in Operando Semiconductor-Metal Nanowire Heterostructures";
Nanoscale,
12
(2020),
20590
- 20597.
More information
-
K. Gärtner, D. Stock, B. Weber, G. Betz, M. Hautala, G. Hobler, M. Hou, S. Sarite, W. Eckstein, J.J. Jimenez-Rodriguez, A.M.C. Perez-Martin, E.T. Andribet, V. Konoplev, A. Gras-Marti, M. Posselt, M.H. Shapiro, T.A. Tombrello, H.M. Urbassek, H. Hensel, Y. Yamamura, W. Takeuchi:
"Round robin computer simulation of ion transmission through thin crystalline layers";
Nuclear Instruments & Methods B,
102
(1995),
183
- 197.
-
I. Galbraith, R. Chari, S. Pellegrini, P.J. Phillips, C.J. Dent, A.F.G. van der Meer, D.G. Clarke, A.K. Kar, G.S. Buller, C.R. Pidgeon, B. Murdin, J. Allam, G. Strasser:
"Excitonic signatures in the photoluminescence and terahertz absorption of a GaAs/AlxGa1-xAs multiple quantum well";
Physical Review B,
71
(2005),
0733021
- 0733024.
-
R. Gansch, S. Kalchmair, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Higher order modes in photonic crystal slabs";
Optics Express,
19
(2011),
17;
15990
- 15995.
-
R. Gansch, S. Kalchmair, P Genevet, T. Zederbauer, H. Detz, W. Schrenk, F. Capasso, M. Loncar, G. Strasser:
"Measurement of bound states in the continuum by a detector embedded in a photonic crystal";
Light-Science & Applications,
5
(2016),
e16147;
1
- 7.
More information
-
W. Gao, X. Wang, R. Chen, D. Eason, G. Strasser, J. Bird, J. Kono:
"Electroluminescence from GaAs/AlGaAs Heterostructures in Strong in-Plane Electric Fields: Evidence for k- and Real-Space Charge Transfer";
ACS Photonics,
2
(2015),
8;
1155
- 1159.
More information
-
O. Gauthier-Lafaye, F.H. Julien, S. Cabaret, J.-M. Lourtioz, G. Strasser, E. Gornik, M. Helm, P. Bois:
"High-power GaAs/AlGaAs Quantum Fountain Laser emitting at 14.5 um with 2.5% tunability";
Applied Physics Letters,
74
(1999),
1537.
-
O. Gauthier-Lafaye, B. Seguin-Roa, F.H. Julien, G. Strasser, P. Collot, C. Sirtori, J-Y Duboz:
"Long-wavelength High-power Quantum Fountain Unipolar Lasers in GaAs/AlGaAs Quantum Wells";
THz Spectroscopy and Applications II,
3828
(1999),
6.
-
O. Gauthier-Lafaye, B. Seguin-Roa, F.H. Julien, P. Collot, C. Sirtori, J-Y Duboz, G. Strasser:
"High power tunable quantum fountain unipolar lasers";
Physica E: Low-dimensional Systems and Nanostructures,
7
(2000),
12
- 19.
-
M. Gavagnin, H. D. Wanzenböck, D. Belic, E. Bertagnolli:
"Synthesis of Individually Tuned Nanomagnets for Nanomagnet Logic by Direct Write Focused Electron Beam Induced Deposition";
ACS Nano,
7
(2013),
1;
777
- 784.
-
M. Gavagnin, H. D. Wanzenböck, D. Belic, M. Shawrav, A. Persson, K. Gunnarsson, P. Svedlindh, E. Bertagnolli:
"Magnetic force microscopy study of shape engineered FEBID iron nanostructures";
Physica Status Solidi A,
211
(2014),
2;
368
- 374.
-
M. Gavagnin, H. D. Wanzenböck, M. Shawrav, D. Belic, S. Wachter, S. Waid, M. Stöger-Pollach, E. Bertagnolli:
"Focused Electron Beam-Induced CVD of Iron: a Practical Guide for Direct Writing";
Chemical Vapor Deposition,
20
(2014),
7-9;
243
- 250.
More information
-
M. Gavagnin, H. D. Wanzenböck, S. Wachter, M. Shawrav, A. Persson, K. Gunnarsson, P. Svedlindh, M. Stöger-Pollach, E. Bertagnolli:
"Free-standing magnetic nanopillars for 3-D nanomagnet logic";
ACS Applied Materials & Interfaces,
6
(2014),
22;
20254
- 20260.
More information
-
T. Gebhard, P. Souza, F.F. Schrey, G. Strasser, K. Unterrainer, M. Pires, S. Landi, J.M. Villas-Boas, N. Studart:
"Polarization dependence of intraband transitions in QDIPs";
Physica Status Solidi (c),
4
(2007),
2;
304
- 306.
-
J. Geserick, S. Meyer, M. Puchberger, S. Pabisch, H. Peterlik, O. Bethge, E. Bertagnolli, U. Schubert:
"Porous Silica-Based Mixed Oxides with Basic Organic Sites";
European Journal of Inorganic Chemistry,
2012
(2012),
33;
5207
- 5215.
More information
-
A. Gewies, M. Castineiras-Vilarino, U. Ferch, N. Jährling, K. Heinrich, U. Hoeckendorf, G. Przemeck, M. Munding, O. Groß, T. Schröder, M. Horsch, E. Karran, A. Majid, S. Antonowicz, J. Beckers, M. Hrabe de Angelis, H. Dodt, C. Peschel, I. Förster, M. Dyer, J. Ruland:
"Prdm6 Is Essential for Cardiovascular Development In Vivo";
PLoS one,
8
(2013),
11;
1
- 12.
-
K. Ghaderi, G. Hobler:
"Simulation of phosphorus diffusion in silicon using a pair diffusion model with a reduced number of parameters";
Journal of the Electrochemical Society,
142
(1995),
1654
- 1658.
-
K. Ghaderi, G. Hobler, M. Budil, L. Mader, H.J. Schulze:
"Determination of silicon point defect parameters and reaction barrier energies from gold diffusion experiments";
Journal of Applied Physics,
77(3)
(1995),
1320
- 1322.
-
S. Gianordoli, R. Hainberger, A. Köck, N. Finger, E. Gornik, L. Korter, C. Hanke:
"Optimization of the emissioncharacteristics of light emitting diodes by surface plasmons and surface waveguide modes";
Applied Physics Letters,
77
(2000),
2295.
-
S. Gianordoli, L. Hvozdara, G. Strasser, T. Maier, N. Finger, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs microresonator quantum cascade lasers";
Physica E: Low-dimensional Systems and Nanostructures,
7
(2000),
29
- 32.
-
S. Gianordoli, L. Hvozdara, G. Strasser, W. Schrenk, J. Faist, E. Gornik:
"Long-Wavelength (10 um) Quadrupolar Shaped GaAs/AlGaAs Microlasers";
IEEE Journal of Quantum Electronics,
36
(2000),
458.
-
S. Gianordoli, L. Hvozdara, G. Strasser, W. Schrenk, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs based micro lasers emitting at 10 um and 13 um";
IEEE Lasers and Electro-Optics Society,
1
(1999),
ISBN 0-7803-5634-9;
9.
-
S. Gianordoli, L. Hvozdara, G. Strasser, W. Schrenk, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs-based microcylinder lasers emitting at 10 µm";
Applied Physics Letters,
75
(1999),
1045.
-
S. Gianordoli, W. Schrenk, L. Hvozdara, N. Finger, K. Unterrainer, G. Strasser, E. Gornik:
"Improved performance of GaAs/AlGaAs superlattice quantum cascade lasers emitting beyond 1=13um";
IEEE Photonics Technology Letters,
12
(2000),
1144.
-
S. Gianordoli, W. Schrenk, G. Strasser, L. Hvozdara, N. Finger, E. Gornik:
"Strained InGaAs/GaAs/GaAs-Quantum Cascade Lasers";
Applied Physics Letters,
76
(2000),
3361.
-
M. Glaser, A. Kitzler, A. Johannes, S. Pruncal, H. Potts, S. Conesa-Boj, L. Filipovic, H. Kosina, W. Skorupa, E. Bertagnolli, C. Ronning, A. Fontcuberta i Morral, A. Lugstein:
"Synthesis, Morphological, and Electro-optical Characterizations of Metal/Semiconductor Nanowire Heterostructures";
Nano Letters,
16
(2016),
6;
3507
- 3518.
More information
-
S. Glassner, H. Keshmiri, D. Hill, J.F. Cahoon, B. Fernandez, M. den Hertog, A. Lugstein:
"Tuning Electroluminescence from a Plasmonic Cavity-Coupled Silicon Light Source";
Nano Letters,
18
(2018),
11;
8 pages.
More information
-
S. Glassner, P. Periwal, T. Baron, E. Bertagnolli, A. Lugstein:
"Electroluminescence from NiSi2/Si/NiSi2 nanowire heterostructures operated at high electric fields";
Physica Status Solidi A,
1
(2016),
1
- 6.
-
S. Glassner, C. Zeiner, P. Periwal, T. Baron, E. Bertagnolli, A. Lugstein:
"Multimode Silicon Nanowire Transistors";
Nano Letters,
14
(2014),
6699
- 6703.
More information
-
O. Glushko, R. Brunner, R. Meisels, S. Kalchmair, G. Strasser:
"Extraordinary transmission in metal hole arrayphotonic crystal hybrid structures";
Optics Express,
20
(2012),
15;
17174
- 17182.
-
B. Goebel, D. Schumann, E. Bertagnolli:
"Vertical MOSFETs for Extremelely High Density Memories: The Impact of Interface Orientation on Device Performance";
IEEE Transactions on Electron Devices,
48
(2001),
897.
-
D. Golde, M. Wagner, D. Stehr, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser, M. Kira, S. Koch:
"Fano Signatures in the Intersubband Terahertz Response of Optically Excited Semiconductor Quantum Wells";
Physical Review Letters,
102
(2009),
1274031
- 1274034.
-
S. Golka, M. Austerer, C. Pflügl, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"GaAs/AlGaAs quantum cascade lasers with dry etched semiconductor-air Bragg reflectors";
Journal of Modern Optics,
52
(2005),
16;
2303
- 2308.
-
S. Golka, C. Pflügl, W. Schrenk, G. Strasser:
"Quantum cascade lasers with lateral dougle-sided distributed feedback grating";
Applied Physics Letters,
86
(2005),
1111031
- 1111033.
-
S. Golka, C. Pflügl, W. Schrenk, G. Strasser, C. Skierbiszewski, M. Siekacz, I. Grzegory, S. Porowski:
"Negative differential resistance in dislocation-free GaN/AlGaN double-barrier diodes grown on bulk GaN";
Applied Physics Letters,
88
(2006),
1721061
- 1721063.
-
S. Golka, S. Schartner, W. Schrenk, G. Strasser:
"Low bias reactive ion etching of GaAs with a SiCl4/N2/O2 time-multiplexed process";
Journal of Vacuum Science & Technology B,
25
(2007),
3;
839
- 844.
-
A. Golshani, P.O. Kellermann, A. Köck, E. Gornik, L. Korte:
"5 wavelength surface emitting laser diode array based on post growth adjustment of surface emission wavelength";
Applied Physics Letters,
71
(1997),
762.
-
J.E. Golub, R. Eichmann, G. Strasser, G. Bernatz, S. Nau, W. Stolz, P. Thomas:
"Observations of interaction-assisted hopping transport in GaAs/Ga1-xAlxAs quantum wells";
Journal of Luminescence,
91
(2000),
7.
-
E. Gornik:
"Current and THz Spectroscopy of Quantum States";
Nova Acta Leopoldina,
340
(2005),
93
- 94.
-
E. Gornik:
"Forscher auf dem Weg zur Quantenelektronik";
VDI Nachrichten,
28
(2000),
0042-1758;
11.
-
E. Gornik:
"Geometrical Shaping of Microlaser Emission Patterns";
Science,
280
(1998),
1544.
-
E. Gornik:
"Nanoelektronik - das "missing link"";
Schweizerische Technische Zeitschrift,
9
(2000),
1422-9153;
22.
-
E. Gornik:
"Nanoelektronik - das "missing link"";
Markt & Technik,
30
(2000),
0344-8843;
44.
-
E. Gornik:
"Nanoelektronik - das "missing link" zwischen Mikro- und Quantenelektronik";
Elektronik Report,
7-8
(2000),
1019-410X;
66.
-
E. Gornik, W. Boxleitner:
"Smith-Purcell emission as a tool to investigate the electric distribution function";
Lithunian Physics Journal,
36/6
(1996),
552.
-
E. Gornik, V. Rosskopf, W. Heiss:
"Tunable lasers and detectors in the FIR";
Infrared Physics & Technology,
36
(1995),
113.
-
E. Gornik, J. Smoliner, V. Rosskopf:
"Electrical and optical characterization of Nanostructures";
Acta Physica Polonica A,
87
(1995),
119.
-
E. Gornik, G. Strasser, K. Unterrainer:
"Landau level laser";
Nature Photonics (invited),
15
(2021),
12/2021;
875
- 883.
-
P. Green, L.R. Wilson, E.A. Zibik, D.G. Revin, J.W. Cockburn, C. Pflügl, W. Schrenk, G. Strasser, A.B. Krysa, J.S. Roberts, C.M. Tey, A.G. Cullis:
"High-performance distributed feedback quantum cascade lasers grown by metalorganic vapor phase epitaxy";
Applied Physics Letters,
83
(2004),
23;
5529
- 5531.
-
M. Gregor, R. Micunek, T. Plecenik, T. Roch, A. Lugstein, E. Bertagnolli, I. Vavra, M. Stefecka, M. Kubinec, M. Leporis, V. Gasparik, P. Kus, A. Plecenik:
"Nano-bridges based on the superconducting MgB2 thin films";
Physica C: Superconductivity and its Applications,
468
(2008),
785
- 788.
-
J.M. Greil, E. Bertagnolli, B. Salem, T. Baron, P. Gentile, A. Lugstein:
"Fabrication and characterization of a germanium nanowire light emitting diode";
Applied Physics Letters,
111
(2017),
233103;
1
- 5.
-
J.M. Greil, S. Birner, E. Bertagnolli, A. Lugstein:
"Nanowires enabling strained photovoltaics";
Applied Physics Letters,
104
(2014),
1639011
- 1639014.
-
J.M. Greil, A. Lugstein, C. Zeiner, G. Strasser, E. Bertagnolli:
"Tuning the Electro-optical Properties of Germanium Nanowires by Tensile Strain";
Nano Letters,
12
(2012),
6230
- 6234.
-
G. Haberfehlner, S. Bychikhin, V. Dubec, M. Heer, A. Podgaynaya, M. Stecher, E. Gornik, D. Pogany:
"Thermal imaging of smart power DMOS transistors in the thermally unstable regime using a compact transient interferometric mapping system";
Microelectronics Reliability,
49
(2009),
1346
- 1351.
-
C. Hahn, K. Becker, S. Saghafi, M. Pende, A. Avdibasic, M. Foroughipour, D. Heinz, C. Wotjak, H. Dodt:
"High-resolution imaging of fluorescent whole mouse brain using stabilised organic media (sDISCO)";
Journal of Biophotonics,
12
(2019),
8;
1
- 10.
More information
-
S. Harasek, A. Lugstein, H. D. Wanzenböck, E. Bertagnolli:
"Slow trap response of zirconium dioxide thin films on silicon";
Applied Physics Letters,
83
(2003),
7;
1400
- 1402.
-
S. Harasek, H. D. Wanzenböck, B. Basnar, J. Smoliner, J. Brenner, H. Störi, E. Gornik, E. Bertagnolli:
"Metal-organic chemical vapor deposition and nanoscale characterization of zirconium oxide thin films";
Thin Solid Films,
414
(2002),
199
- 204.
More information
-
S. Harasek, H. D. Wanzenböck, E. Bertagnolli:
"Compositional and electrical properties of zirconium dioxide thin films chemically deposited on silicon";
Journal of Vacuum Science & Technology A,
21
(2003),
653
- 659.
-
L. Harmatha, L. Stuchlikova, O. Csabay, I. Thurzo, E. Gornik, G. Strasser:
"Determination of the 2D-Electron Gas Density in a Quantum Well from C-T and C-V Measurements";
Physica Status Solidi A,
183
(2001),
2;
391
- 397.
-
A. Harrer, B. Schwarz, R. Gansch, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Plasmonic lens enhanced mid-infrared quantum cascade detector";
Applied Physics Letters,
105
(2014),
171112;
171112-1
- 171112-4.
More information
-
A. Harrer, B. Schwarz, S. Schuler, P. Reininger, A. Wirthmüller, H. Detz, D. MacFarland, T. Zederbauer, A. M. Andrews, M. Rothermund, H. Oppermann, W. Schrenk, G. Strasser:
"4.3 μm quantum cascade detector in pixel configuration";
Optics Express,
24
(2016),
16;
17041
- 17049.
More information
-
A. Harrer, R. Szedlak, B. Schwarz, H. Moser, T. Zederbauer, D. MacFarland, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Mid-infrared surface transmitting and detecting quantum cascade device for gas-sensing";
Scientific Reports,
6
(2016),
21795;
1
- 6.
More information
-
M. Hauser, J. Smoliner, C. Eder, G. Strasser, E. Gornik:
"Single-Quantum Dots as Scanning Tunneling Microscope Tips";
Superlattices and Microstructures,
20
(1996),
623.
-
N.E. Hecker, R.A. Höpfel, N. Sawaki, T. Maier, G. Strasser:
"Surface plasmon enhanced photoluminescence from a single quantum well";
Applied Physics Letters,
75
(1999),
1577.
-
M. Heer, S. Bychikhin, W. Mamanee, D. Pogany, A. Heid, P. Grombach, M. Klaussner, W. Soppa, B. Ramler:
"Experimental and numerical analysis of current flow homogeneity in low voltage SOI multi-finger gg-NMOS and NPN ESD protection devices";
Microelectronics Reliability,
47
(2007),
1460
- 1465.
-
M. Heer, K. Domanski, K. Esmark, U. Glaser, D. Pogany, E. Gornik, W. Stadler:
"Transient interferometric mapping of carrier plasma during external transient latch-up phenomenian latch-up test structures and I /O cells processed in CMOS technology";
Microelectronics Reliability,
49
(2009),
1455
- 1464.
-
M. Heer, V. Dubec, M. Blaho, S. Bychikhin, D. Pogany, E. Gornik, M. Denison, M. Stecher, G. Groos:
"Automated setup for thermal imaging and electrical degradation study of power DMOS devices";
Microelectronics Reliability,
45
(2005),
1688
- 1693.
-
M. Heer, V. Dubec, S. Bychikhin, D. Pogany, E. Gornik, M. Frank, A. Konrad, J. Schulz:
"Analysis of triggering behaviour of high voltage CMOS LDMOS clamps and SCRs during ESD induced latch-up";
Microelectronics Reliability,
46
(2006),
1591
- 1596.
-
M. Heer, P. Grombach, A. Heid, D. Pogany:
"Hot spot analysis during thermal shutdown of SOI BCDMOS half bridge driver for automotive applications";
Microelectronics Reliability,
48
(2008),
1525
- 1528.
-
R. Heer, C. Eder, J. Smoliner, E. Gornik:
"A floating electrometer for scanning tunneling microscope applications in the femtoampere range";
Review of Scientific Instruments,
68
(1997),
4488.
-
R. Heer, D. Rakoczy, G. Ploner, G. Strasser, E. Gornik, J. Smoliner:
"A metal-insulator-metal injector for ballistic electron spectroscopy";
Applied Physics Letters,
75
(1999),
4007
- 4009.
-
R. Heer, J. Smoliner, J. Bornemeier, H. Brückl:
"Ballistic electron emission microscopy on spin valve structures";
Applied Physics Letters,
85
(2004),
19;
4388
- 4390.
-
R. Heer, J. Smoliner, G. Strasser, E. Gornik:
"A highly transmittive semiconductor base for Ballistic Electron Emission Microscopy";
Applied Physics Letters,
73
(1998),
1218.
-
R. Heer, J. Smoliner, G. Strasser, E. Gornik:
"A highly transmittive semiconductor base for Ballistic Electron Emission Microscopy";
Surface and Interface Analysis,
27
(1999),
517.
-
R. Heer, J. Smoliner, G. Strasser, E. Gornik:
"Ballistic Electron Emission Microscopy on biased GaAs-AlGaAs superlattices";
Applied Physics Letters,
73
(1998),
3138.
-
R. Heer, J. Smoliner, G. Strasser:
"KII=0 filtering effects in ballistic electron transport through sub-surface resonant tunneling diodes";
Physica B: Condensed Matter,
272
(1999),
187
- 189.
-
R. Heer, J. Smoliner, G. Strasser, E. Gornik:
"Temperature dependent studies of InAs base layers for Ballistic Electron Emission Microscopy";
Physical Review B,
59
(1999),
4618.
-
W. Heiss, P. Auer, E. Gornik, C.R. Pidgeon, C. Langerak, B. Murdin, G. Weimann, M. Heiblum:
"Determination of Landau Level lifetimes in AlGaAs/GaAs heterostructures with a ps free electron laser";
Applied Physics Letters,
67
(1995),
1110.
-
W. Heiss, B. Fabianek, K. Unterrainer, E. Gornik, W.L. Hansen, E. Haller:
"Influence of impurity absorption of p-Ge 1-h hole laser spectra";
Solid State Communications,
93
(1995),
460.
-
W. Heiss, E. Gornik, H. Hertle, B. Murdin, G.M.H. Kuippes`s, C. Langerak, F. Schäffler, C.R. Pidgeon:
"Determination of the intersubband lifetime in Si/SiGe quantum wells";
Applied Physics Letters,
66
(1995),
3313.
-
W. Heiss, E. Gornik, C.R. Pidgeon, S.C. Lee, I. Galbraith, B. Murdin, C. Langerak, M. Helm, H. Hertle, F. Schäffler:
"Intersubband lifetimes in Si/SiGe and GaAs/AlGaAs quantum wells";
Solid-State Electronics,
40
(1996),
59.
-
M. Helm, W. Hilber, G. Strasser, R. De Meester, F.M. Peeters, A. Wacker:
"Continuum Wannier-Stark ladders strongly coupled by Zener resonances in semiconductor superlattices";
Physical Review Letters,
82
(1999),
3120.
-
M. Helm, W. Hilber, G. Strasser, R. De Meester, F.M. Peeters:
"Minibands and Wannier-Stark Ladders in Semiconductor Superlattices studied by infrared spectroscopy";
Brazilian Journal of Physics,
29
(1999),
652
- 660.
-
M. Helm, W. Hilber, G. Strasser, R. De Meester, F.M. Peeters, A. Wacker:
"Simultaneous investigation of vertical transport...";
Physica B: Condensed Matter,
272
(1999),
194
- 197.
-
M. Helm, W. Hilber, G. Strasser, R. DeMeester, F.M. Peeters, A. Wacker:
"Interminiband spectroscopy of biased superlattices";
Physica E: Low-dimensional Systems and Nanostructures,
7
(2000),
274
- 278.
-
C. Henkel, S. Abermann, O. Bethge, E. Bertagnolli:
"Atomic layer-deposited platinum in high-κ/metal gate stacks";
Semiconductor Science and Technology,
24
(2009),
1250131
- 1250136.
-
C. Henkel, S. Abermann, O. Bethge, G. Pozzovivo, P. Klang, M. Reiche, E. Bertagnolli:
"Ge p-MOSFETs With Scaled ALD La2O3/ZrO2 Gate Dielectrics";
IEEE Transactions on Electron Devices,
57
(2010),
12;
3295
- 3302.
-
C. Henkel, S. Abermann, O. Bethge, G. Pozzovivo, P. Klang, M. Stöger-Pollach, E. Bertagnolli:
"Schottky barrier SOI-MOSFETs with high-k La2O3/ZrO2 gate dieelectrics";
Microelectronic Engineering,
88
(2011),
3;
262
- 267.
More information
-
C. Henkel, S. Abermann, O. Bethge, G. Pozzovivo, S. Puchner, H. Hutter, E. Bertagnolli:
"Reduction of the PtGe/Ge Electron Schottky-Barrier Height by Rapid Thermal Diffusion of Phosphorous Dopants";
Journal of the Electrochemical Society,
157
(2009),
815
- 820.
-
C. Henkel, O. Bethge, S. Abermann, S. Puchner, H. Hutter, E. Bertagnolli:
"Pt-assisted oxidation of "100...-Ge/high-k interfaces and improvement of their electrical quality";
Applied Physics Letters,
97
(2010),
1529041
- 1529043.
-
C. Henkel, P. Hellström, M. Östling, M. Stöger-Pollach, O. Bethge, E. Bertagnolli:
"Impact of oxidation and reduction annealing on the electrical properties of Ge/La2O3/ZrO2 gate stacks";
Solid-State Electronics,
74
(2012),
7
- 12.
-
J.N. Heyman, N Coates, A Reinhardt, G. Strasser:
"Diffusion and drift in therahertz emission at GaAs surfaces";
Applied Physics Letters,
83
(2003),
26;
5476
- 5478.
-
J.N. Heyman, R. Kersting, K. Unterrainer:
"Time-Domain Measurement of Intersubband Oscillations in a Quantum Well";
Applied Physics Letters,
72
(1998),
644.
-
J.N. Heyman, P. Neocleous, D. Herbert, P.A. Crowell, T. Müller, K. Unterrainer:
"Terahertz emission from GaAs and InAs in a magnetic field";
Physical Review B,
64
(2001),
085202.
-
J.N. Heyman, K. Unterrainer, K. Craig, J. Williams, M.S. Sherwin, K. Campman, P.F. Hopkins, A.C. Gossard, B. Murdin, C. Langerak:
"Intersubband Lifetime in an GaAs/AlGaAs Coupled-Quantum Well: FIR Pump-Probe and Steady-State Measurements";
Applied Physics Letters,
68
(1996),
3019.
-
J.N. Heyman, K. Unterrainer, K. Craig, B. Galdrikian, M.S. Sherwin, K. Campman, P.F. Hopkins, A.C. Gossard:
"Temperature and Intensity Dependence of Intersubband Relaxation Rates from Optical Rectification";
Physical Review Letters,
74
(1995),
2682.
-
J. Hillbrand, A. M. Andrews, H. Detz, G. Strasser, B. Schwarz:
"Coherent injection locking of quantum cascade laser frequency combs";
Nature Photonics,
13
(2019),
101
- 104.
More information
-
J. Hillbrand, D. Auth, M. Piccardo, N Opacak, E. Gornik, G. Strasser, F. Capasso, S. Breuer, B. Schwarz:
"In-Phase and Anti-Phase Synchronization in a Laser Frequency Comb";
Physical Review Letters,
124
(2020),
123901;
123901-1
- 123901-6.
More information
-
J. Hillbrand, M Beiser, A. M. Andrews, H. Detz, R. Weih, A. Schade, S. Höfling, G. Strasser, B. Schwarz:
"Picosecond pulses from a mid-infrared interband cascade laser";
Optica,
6
(2019),
10;
1334
- 1337.
More information
-
J. Hillbrand, P. Jouy, M. Beck, J. Faist:
"Tunable dispersion compensation of quantum cascade laser frequency combs";
Optics Letters,
43
(2018),
8;
1746
- 1749.
-
J. Hillbrand, L. Krüger, S. Dal Cin, H. Knötig, J. Heidrich, A. M. Andrews, G. Strasser, U. Keller, B. Schwarz:
"High-speed quantum cascade detector characterized with a mid-infrared femtosecond oscillator";
Optics Express,
29
(2021),
4;
5774
- 5781.
More information
-
J. Hillbrand, N Opacak, M. Piccardo, H. Schneider, G. Strasser, F. Capasso, B. Schwarz:
"Mode-locked short pulses from an 8 μm wavelength semiconductor laser";
Nature Communications,
11
(2020),
5788;
1
- 7.
More information
-
B. Hinkov, J. Hayden, R. Szedlak, P. Martin-Mateos, B Jerez, P. Acedo, G. Strasser, B. Lendl:
"High frequency modulation and (quasi) single-sideband emission of mid-infrared ring and ridge quantum cascade lasers";
Optics Express,
27
(2019),
10;
14716
- 14724.
More information
-
B. Hinkov, H.T. Hoang, M. Hugues, J. Chaveau, G. Strasser:
"Etching of m-plane Zn(Mg)O epitaxial films and its impact on surface leakage currents";
Semiconductor Science and Technology,
36
(2021),
035023;
1
- 11.
More information
-
T. Hisch, M. Liertzer, D. Pogany, F. Mintert, S. Rotter:
"Pump-Controlled Directional Light Emission from Random Lasers";
Physical Review Letters,
111
(2013),
023902-1
- 023902-5.
-
G. Hobler:
"Assessment of surface potential models by molecular dynamics simulations of atom ejection from (100)-Si surfaces";
Nuclear Instruments & Methods in Physics Research Section B,
303
(2013),
165
- 169.
-
G. Hobler:
"Combined binary collision and continuum mechanics model applied to focused ion beam milling of a silicon membrane";
Nuclear Instruments & Methods in Physics Research Section B,
352
(2015),
22
- 26.
-
G. Hobler:
"Critical angles and low-energy limits to ion channeling in silicon";
Radiation Effects and Deffects in Solids,
139
(1996),
21
- 85.
-
G. Hobler:
"Monte Carlo simulation of two-dimensional implanted dopant distributions at mask edges";
Nuclear Instruments & Methods B,
96
(1995),
155
- 162.
-
G. Hobler:
"Theoretical estimate of the low-energy limit to ion channeling";
Nuclear Instruments & Methods B,
115
(1996),
323
- 327.
-
G. Hobler, G. Betz:
"On the useful range of application of molecular dynamics simulations in the recoil interaction approximation";
Nuclear Instruments & Methods B,
180
(2001),
203.
-
G. Hobler, J. Bevk, A. Agarwal:
"Channeling of low-energy implanted ions through the poly-Si gate";
IEEE Electron Device Letters,
20 (7)
(1999),
357
- 359.
-
G. Hobler, K. Bourdelle, T. Akatsu:
"Random and channeling stopping power of H in Si below 100keV";
Nuclear Instruments & Methods B,
242
(2006),
617
- 619.
-
G. Hobler, R.M. Bradley, Herbert M. Urbassek:
"Probing the limitations of Sigmund´s model of spatially resolved sputtering using Monte Carlo simulations";
Physical Review B,
93
(2016),
205443;
1
- 17.
-
G. Hobler, G. Fehlmann:
"A study of ultra-shallow implanted dopant profiles in silicon using BC and MD simulations";
Radiation Effects and Deffects in Solids,
141
(1997),
113
- 125.
-
G. Hobler, D. Kovac:
"Dynamic binary collision simulation of focused ion beam milling of deep trenches";
Nuclear Instruments & Methods in Physics Research Section B,
269
(2011),
1609
- 1613.
-
G. Hobler, G. Kresse:
"Ab initio calculations of the interaction between native point defects in silicon";
Materials Science and Engineering B,
124-125
(2005),
368
- 371.
-
G. Hobler, E. Langer, S. Selberherr:
"Two-Dimensional Modeling of Ion Implantation with Spatial Moments";
Solid-State Electronics,
30
(1987),
4;
445
- 455.
More information
-
G. Hobler, D. Maciazek, Z. Postawa:
"Crater function moments: Role of implanted noble gas atoms";
Physical Review B,
97
(2018),
155307;
155307-1
- 155307-13.
More information
-
G. Hobler, D. Maciazek, Z. Postawa:
"Ion bombardment induced atom redistribution in amorphous targets: MD versus BCA";
Nuclear Instruments & Methods in Physics Research Section B,
447
(2019),
30
- 33.
-
G. Hobler, M. Nietiadi, R.M. Bradley, Herbert M. Urbassek:
"Sputtering of silicon membranes with nanoscale thickness";
Journal of Applied Physics,
119
(2016),
245105.
-
G. Hobler, K. Nordlund:
"Channeling maps for Si ions in Si: Assessing the binary collision approximation";
Nuclear Instruments & Methods in Physics Research Section B,
449
(2019),
17
- 21.
-
G. Hobler, G. Otto:
"Amorphous pocket model for silicon based on molecular dynamics simulations";
Nuclear Instruments & Methods B,
206
(2003),
81
- 84.
-
G. Hobler, G. Otto:
"Status and open problems in modeling of as-implanted damage in silicon";
Materials Science in Semiconductor Processing,
6
(2003),
1
- 14.
-
G. Hobler, G. Otto, D. Kovac, L. Palmetshofer, K. Mayerhofer, K. Piplits:
"Multiscale approach for the analysis of channeling profile measurements of ion implantation damage";
Nuclear Instruments & Methods B,
228
(2005),
360
- 363.
-
G. Hobler, L. Pelaz, C.S. Rafferty:
"Continuum treatment of spatial correlation in damage annealing";
Nuclear Instruments & Methods B,
153
(1999),
172
- 176.
-
G. Hobler, L. Pelaz, C.S. Rafferty:
"Dose, energy, and ion species dependence of the effective plus-factor for transient enhanced diffusion";
Journal of the Electrochemical Society,
147
(2000),
3494
- 3501.
-
G. Hobler, S. Selberherr:
"Monte Carlo Simulation of Ion Implantation into Two- and Three-Dimensional Structures";
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,
8
(1989),
5;
450
- 459.
More information
-
G. Hobler, S. Selberherr:
"Two-Dimensional Modeling of Ion Implantation Induced Point Defects";
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,
7
(1988),
2;
174
- 180.
More information
-
G. Hobler, A. Simionescu:
"Acceleration of binary collision simulations in crystalline targets using critical angles for ion channeling";
Nuclear Instruments & Methods B,
102
(1995),
24
- 28.
-
G. Hobler, A. Simionescu, L. Palmetshofer, C. Tian, G. Stingeder:
"Boron channeling implantations in silicon: Modeling of electronic stopping and damage accumulation";
Journal of Applied Physics,
77(8)
(1995),
3697
- 3703.
-
G. Hobler, A. Simionescu, L. Palmetshofer, F. Jahnel, R. von Criegern, C. Tian, G. Stingeder:
"Vertification of models for the simulation of boron implantation into crystalline silicon";
Journal of Vacuum Science & Technology B,
B 14(1)
(1996),
272
- 277.
-
G. Hochleitner, M. Steinmair, A. Lugstein, P. Rödiger, H. D. Wanzenböck, E. Bertagnolli:
"Focused electron beam induced deposition of gold catalyst templates for Si-nanowire synthesis";
Nanotechnology,
22
(2010),
1
- 5.
-
G. Hochleitner, H. D. Wanzenböck, E. Bertagnolli:
"Electron beam induced deposition of iron nanostructures";
Journal of Vacuum Science & Technology B,
26
(2008),
3;
939
- 944.
-
M. Hock, W. Parz, G. Weimann, A. Chowdhury:
"Patterning GaN Mircrostructures by Polarity-Selective Chemical Etching";
Journal of Applied Physics,
42
(2003),
1405
- 1407.
-
S. Hofer, H. Hirner, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Photoconductive Response of InAs/GaAs quantum dot stacks";
Physica E: Low-dimensional Systems and Nanostructures,
13
(2002),
190.
-
A. Hoffman, S. Schartner, S. Howard, K. Franz, F. Towner, C. Gmachl:
"Low voltage-defect quantum cascade laser with heterogeneous injector regions";
Optics Express,
15
(2007),
24;
15818
- 15823.
-
L. Hoffmann, M. Austerer, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Monolithic Mach-Zehnder-type quantum cascade laser";
Journal of Applied Physics,
104
(2008),
0631101
- 0631106.
-
L. Hoffmann, C. Hurni, S. Schartner, M. Austerer, E. Mujagic, M. Nobile, A. M. Andrews, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Farfield Measurements of Y-Coupled Quantum Cascade Lasers";
Springer Proceedings in Physics: "Narrow Gap Semiconductor 2007",
119
(2008),
147
- 150.
-
L. Hoffmann, C. Hurni, S. Schartner, M. Austerer, E. Mujagic, M. Nobile, A. Benz, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Coherence in Y-coupled quantum cascade lasers";
Applied Physics Letters,
91
(2007),
1611061
- 1611063.
-
L. Hoffmann, C. Hurni, S. Schartner, M. Austerer, E. Mujagic, M. Nobile, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, G. Strasser:
"Coherent coupling of mid-infrared Quantum Cascade Lasers";
SPIE-Int. Soc. Opt. Eng,
6909
(2008),
6909161
- 6909168.
-
L. Hoffmann, C. Hurni, S. Schartner, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Wavelength dependent phase locking in quantum cascade laser Y-junctions";
Applied Physics Letters,
92
(2008),
0611101
- 0611103.
-
L. Hoffmann, M. Klinkmüller, E. Mujagic, M. Semtsiv, W. Schrenk, W. Masselink, G. Strasser:
"Tree array quantum cascade laser";
Optics Express,
17
(2009),
2;
649
- 657.
-
L. Hoffmann, G. Strasser:
"Y-coupled quantum cascade lasers";
SPIE Newsroom,
(2008).
-
D. Hofstetter, E. Baumann, F. Giorgetta, R. Theron, F. Guillot, E. Monroy, S. Golka, G. Strasser:
"Monolithically integrated UV/IR-photodetectors based on an AlN/GaN-based superlattice grown on an AlGaN buffer layer";
Physica Status Solidi (c),
6
(2009),
52;
818
- 821.
-
D. Hofstetter, R. Theron, E. Baumann, F. Giorgetta, S. Golka, G. Strasser, F. Guillot, E. Monroy:
"Monolithically integrated AlGaN/GaN/AlN-based solar-blind ultraviolet and near infrared detectors";
Electronics Letters,
44
(2008),
986
- 988.
-
M. Holzbauer, P. Klang, H. Detz, A. M. Andrews, G. Strasser, P. Bakshi, E. Gornik:
"Resonant intersubband plasmon induced current in InGaAs quantum wells on GaAs";
Applied Physics Letters,
104
(2014),
1221011
- 1221014.
-
M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, G. Strasser:
"Substrate-emitting ring interband cascade lasers";
Applied Physics Letters,
111
(2017),
1711011
- 1711014.
More information
-
J.W.P. Hsu, F. F. Schrey, M.J. Matthews, S.L. Gu, T.F. Kuech:
"Impurity Effects on Photoluminescence in Lateral Epitaxially Overgrown GaN";
Journal of Electronic Materials,
32
(2003),
5;
322
- 326.
-
J.W.P. Hsu, F. F. Schrey, H. Ng:
"Spatial distribution of yellow luminescence related deep levels in GaN";
Applied Physics Letters,
83
(2003),
22;
4172
- 4174.
-
J.W.P. Hsu, G. Weimann, M. Manfra, K. West, D. Lang, F. F. Schrey, O. Mitrofanov, R. Molnar:
"Effect of dislocations on local transconductance in AlGaN/GaN heterostructures as imaged by scanning gate microscopy";
Applied Physics Letters,
83
(2003),
22;
4559
- 4561.
-
H. Huber, I. Humer, M. Hochleitner, M. Fenner, M. Mörtelmaier, C. Rankl, A. Imtiaz, T. Wallis, H. Tanbakuchi, P. Hinterdorfer, P. Kabos, J. Smoliner, J. Kopanski, F. Kienberger:
"Calibrated nanoscale dopant profiling using a scanning microwave microscope";
Journal of Applied Physics,
111
(2012),
0143011
- 0143019.
-
H. Huber, M. Mörtelmaier, T. Wallis, C. Chiang, M. Hochleitner, A. Imtiaz, Y. Oh, K. Schilcher, M. Dieudonne, J. Smoliner, P. Hinterdorfer, S. Rosner, H. Tanbakuchi, P. Kabos, F. Kienberger:
"Calibrated nanoscale capacitance measurements using a scanning microwave microscope";
Review of Scientific Instruments,
81
(2010),
1137011
- 1137019.
-
I. Humer, O. Bethge, M. Bodnarchuk, M. Kovalenko, M. Yarema, W. Heiss, H. Huber, M. Hochleitner, P. Hinterdorfer, F. Kienberger, J. Smoliner:
"Scanning microwave microscopy and scanning capacitance microscopy on colloidal nanocrystals";
Journal of Applied Physics,
109
(2011),
0643131
- 0643136.
-
I. Humer, C. Eckhardt, H. Huber, F. Kienberger, J. Smoliner:
"Tip geometry effects in dopant profiling by scanning microwave microscopy";
Journal of Applied Physics,
111
(2012),
0443141
- 0443145.
-
I. Humer, H. Huber, F. Kienberger, J. Danzberger, J. Smoliner:
"Phase and amplitude sensitive scanning microwave microscopy/spectroscopy on metal-oxide-semiconductor systems";
Journal of Applied Physics,
111
(2012),
0743131
- 0743138.
-
L. Huston, A. Lugstein, J. Williams, J. Bradby:
"The high pressure phase transformation behavior of silicon nanowires";
Applied Physics Letters,
113
(2018),
123103;
123103-1
- 123103-5.
More information
-
L. Hvozdara, S. Gianordoli, G. Strasser, W. Schrenk, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs unipolar mid-infrared quantum cascade lasers";
Inst. Phys. Conf. Ser.,
166
(2000),
363.
-
L. Hvozdara, S. Gianordoli, G. Strasser, W. Schrenk, K. Unterrainer, E. Gornik, C. Murthy, M. Kraft, B. Pustogow, B. Mizaikoff:
"GaAs/AlGaAs quantum cascade laser? a source for gas absorption spectroscopy";
Physica E: Low-dimensional Systems and Nanostructures,
7
(2000),
37
- 39.
-
L. Hvozdara, S. Gianordoli, G. Strasser, W. Schrenk, K. Unterrainer, E. Gornik, C. Murthy, M. Kraft, V. Pustogow, B. Mizaikoff, N. Croitoru:
"Spectroscopy in the Gas Phase with GaAs/AlGaAs Quantum-Cascade Lasers";
Applied Optics,
39
(2000),
6926.
-
L. Hvozdara, A. Lugstein, N. Finger, S. Gianordoli, W. Schrenk, K. Unterrainer, E. Bertagnolli, G. Strasser, E. Gornik:
"Quantum cascade lasers with monolithic air-semiconductir Bragg reflectors";
Applied Physics Letters,
77
(2000),
1241.
-
L. Hvozdara, A. Lugstein, S. Gianordoli, W. Schrenk, G. Strasser, K. Unterrainer, E. Bertagnolli, E. Gornik:
"Self-aligned coupled cavity GaAs/AlGaAs mid infrared quantum cascade lasers";
Applied Physics Letters,
77
(2000),
1077.
-
Y.J. Hyun, A. Lugstein, M. Steinmair, E. Bertagnolli, P. Pongratz:
"Orientation specific synthesis of kinked silicon nanowires grown by the vapour-liquid-solid mechanism";
Nanotechnology,
20
(2009),
1256061
- 1256065.
-
A. Imtiaz, T. Wallis, S. Lim, H. Tanbakuchi, H. Huber, A. Hornung, P. Hinterdorfer, J. Smoliner, F. Kienberger, P. Kabos:
"Frequency-selective contrast on variably doped p-type silicon with a scanning microwave microscope";
Journal of Applied Physics,
111
(2012),
0937271
- 0937276.
-
N. Jährling:
"Ultramikroskop lüftet Geheimnisse; Einblicke in die Entwicklungsgenetik der Fruchtfiege";
LABO,
4
(2012),
62
- 63.
-
N. Jährling, K. Becker, H. Dodt:
"3D-reconstruction of blood vessels by ultramicroscopy";
Organogenesis,
5
(2009),
4;
1
- 4.
-
N. Jährling, K. Becker, E. Kramer, H. Dodt:
"3D-Visualization of nerve fiber bundles by ultramicroscopy";
Medical Laser Application,
23
(2008),
209
- 215.
-
N. Jährling, K. Becker, C. Schönbauer, F. Schnorrer, H. Dodt:
"Three-dimensional reconstruction and segmentation of intact Drosophila by ultramicroscopy";
Frontiers in Systems Neuroscience,
4
(2010),
1
- 6.
-
N. Jährling, K. Becker, B. Wegenast-Braun, S. Grathwohl, M. Jucker, H. Dodt:
"Cerebral β-Amyloidosis in Mice Investigated by Ultramicroscopy";
PLoS ONE,
1
(2015),
1
- 13.
-
N. Jährling, S. Saghafi:
"Ultramicroscopy - a novel light sheet based imaging technique created by various research disciplines";
E&I Elektrotechnik und Informationstechnik,
128
(2011),
10;
352
- 358.
-
M. Jaidl, N Opacak, M. A. Kainz, S. Schönhuber, D Theiner, B. Limbacher, M Beiser, M Giparakis, A. M. Andrews, G. Strasser, B. Schwarz, J. Darmo, K. Unterrainer:
"Comb operation in terahertz quantum cascade ring lasers";
Optica,
8
(2021),
6;
780
- 787.
-
D. Jeon, S. Park, S. Pregl, T. Mikolajick, W. Weber:
"Reconfigurable thin-film transistors based on a parallel array of Si-nanowires";
Journal of Applied Physics,
129
(2021),
1245041
- 1245049.
More information
-
B Jerez, R. Szedlak, P. Martin-Mateos, C de Dios, P. Acedo, G. Strasser:
"Large-signal modulation distributed feedback quantum cascade lasers for coherent multiharmonic signal generation";
Optical and Quantum Electronics,
50: 351
(2018),
351-1
- 351-8.
More information
-
A. Johannes, S. Noack, W. Wesch, M. Glaser, A. Lugstein, C. Ronning:
"Anomalous Plastic Deformation and Sputtering of Ion Irradiated Silicon Nanowires";
Nano Letters,
15
(2015),
3800
- 3807.
-
A. Johannes, D. Salomon, G. Martinez-Criado, M. Glaser, A. Lugstein, C. Ronning:
"In operando x-ray imaging of nanoscale devices: Composition, valence, and internal electrical fields";
Materials Science,
3
(2017),
1
- 6.
-
D. Johnsson, M Mayerhofer, J. Willemen, U. Glaser, D. Pogany, E. Gornik, M. Stecher:
"Avalanche Breakdown Delay in High-Voltage p-n Junctions Caused by Pre-Pulse Voltage From IEC 61000-4-2 ESD Generators";
IEEE Transactions on Device and Materials Reliability,
9
(2009),
3;
412
- 418.
-
D. Johnsson, D. Pogany, J. Willemen, E. Gornik, M. Stecher:
"Avalanche Breakdown Delay in ESD Protection Diodes";
IEEE Transactions on Electron Devices,
57
(2010),
10;
2470
- 2476.
-
A. Jollivet, B. Hinkov, S. Pirotta, H.T. Hoang, S. Derelle, J. Jaeck, M. Tchernycheva, R. Colombelli, A. Bousseksou, M. Hugues, N. Le Biavan, J. Tamayo-Arriola, M. Montes Bajo, L. Rigutti, A. Hierro, G. Strasser, J. Chauveau, F.H. Julien:
"Short infrared wavelength quantum cascade detectors based on m-plane ZnO/ZnMgO quantum wells";
Applied Physics Letters,
113
(2018),
251104;
251104-1
- 251104-5.
More information
-
M. A. Kainz, S. Schönhuber, A. M. Andrews, H. Detz, B. Limbacher, G. Strasser, K. Unterrainer:
"Barrier Height Tuning of Terahertz Quantum Cascade Lasers for High-Temperature Operation";
ACS Photonics,
5
(2018),
4687
- 4693.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, G. Bastard, K. Unterrainer:
"Color switching of a terahertz quantum cascade laser";
Applied Physics Letters,
114
(2019),
191104;
191104-1
- 191104-5.
-
M. A. Kainz, M. Semtsiv, G. Tsianos, S. Kurlov, W. Masselink, S. Schönhuber, H. Detz, W. Schrenk, K. Unterrainer, G. Strasser, A. M. Andrews:
"Thermoelectric-cooled terahertz quantum cascade lasers";
Optics Express,
27
(2019),
14;
20688
- 20893.
More information
-
M. A. Kainz, M. Wenclawiak, S. Schönhuber, M. Jaidl, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Thermal-Dynamics Optimization of Terahertz Quantum Cascade Lasers with Different Barrier Compositions";
Physical Review Applied,
14
(2020),
5;
1
- 7.
-
M. Kaipio, T. Blanquart, Y. Tomczak, J. Niinistö, M. Gavagnin, V. Longo, H. D. Wanzenböck, V. Pallem, C. Dussarrat, E. Puukilainen, M. Ritala, M. Leskelä:
"Atomic layer deposition, characterization, and growth mechanistic studies of TiO2thin films";
Langmuir,
30
(2014),
25;
7395
- 7404.
-
S. Kalchmair, H. Detz, G. Cole, A. M. Andrews, P. Klang, M. Nobile, R. Gansch, C. Ostermaier, W. Schrenk, G. Strasser:
"Photonic crystal slab quantum well infrared photodetector";
Applied Physics Letters,
98
(2011),
0111051
- 0111053.
-
S. Kalchmair, R. Gansch, S. Ahn, A. M. Andrews, H. Detz, T. Zederbauer, E. Mujagic, P. Reininger, G. Lasser, W. Schrenk, G. Strasser:
"Detectivity enhancement in quantum well infrared photodetectors utilizing a photonic crystal slab resonator";
Optics Express,
20
(2012),
5;
5622
- 5628.
-
S. Kalchmair, N. Jährling, K. Becker, H. Dodt:
"Image contrast enhancement in confocal ultramicroscopy";
Optics Letters,
36
(2009),
1;
79
- 81.
-
H Karaca, C. Fleury, S. Holland, V. Kumar, H. Ritter, G. Notermans, D. Pogany:
"Simultaneous and Sequential Triggering in Multi-Finger Floating-Base SCRs Depending on TLP Pulse Rise Time";
IEEE Transactions on Device and Materials Reliability,
20
(2020),
5;
632
- 640.
More information
-
H Karaca, S. Holland, H. Ritter, V. Kumar, G. Notermans, D. Pogany:
"3-D TCAD Methodology for Simulating Double-Hysteresis Filamentary IV Behavior and Holding Current in ESD Protection SCRs";
IEEE Transactions on Electron Devices,
68
(2021),
8;
4214
- 4222.
More information
-
S. Karl, C. Zeiner, M. Stöger-Pollach, E. Bertagnolli, M.I. den Hertog, M. Lopez-Haro, E. Robin, K. El Hajraoui, A. Lugstein:
"Abrupt Schottky Junctions in Al/Ge Nanowire Heterostructures";
Nano Letters,
15
(2015),
7;
4783
- 4787.
More information
-
M. Kasper, J. Hoffmann, C. Gaquière, R. Feger, A. Stelzer, J. Smoliner, F. Kienberger:
"Metal-oxide-semiconductor capacitors and Schottky diodes studied with scanning microwave microscopy at 18GHz";
Journal of Applied Physics,
116
(2014),
184301;
184301-1
- 184301-8.
More information
-
M. Kast, W. Boxleitner, C. Pacher, G. Strasser, E. Gornik:
"Hot-electron spectroscopy in parallel magnetic fields";
Applied Physics Letters,
82
(2003),
22;
3922
- 3924.
-
M. Kast, C. Pacher, M. Coquelin, G. Fasching, G. Strasser, E. Gornik:
"LO-phonon assisted hot electron transport in biased superlattices";
Physica B: Condensed Matter,
314
(2002),
409.
-
M. Kast, C. Pacher, M. Coquelin, G. Fasching, G. Strasser, E. Gornik:
"Narrow electron injector for hot electron spectroscopy";
Physica E: Low-dimensional Systems and Nanostructures,
13
(2002),
728.
-
M. Kast, C. Pacher, G. Strasser, E. Gornik:
"Narrow electron injector for ballistic electron spectroscopy";
Applied Physics Letters,
78
(2001),
3639.
-
M. Kast, C. Pacher, G. Strasser, E. Gornik, W.S.M. Werner:
"Wannier-Stark States in Finite Superlattices";
Physical Review Letters,
89
(2002),
13;
1368031
- 1368034.
More information
-
M. Kast, P. Schröder, Y.J. Hyun, P. Pongratz, H. Brückl:
"Synthesis of Single-Crystalline Zn Metal Nanowires Utilizing Cold-Wall Physical Vapor Deposition";
Nano Letters,
7
(2007),
No. 8;
2540
- 2544.
More information
-
J. Kaur, O. Bethge, A. Wibowo, N. Bansal, M. Bauch, R. Hamid, E. Bertagnolli, T. Dimopoulos:
"All-oxide solar cells based on electrodeposited Cu 2 O absorber and atomic layer deposited ZnMgO on precious-metal-free electrode";
Solar Energy Materials and Solar Cells,
161
(2017),
449
- 459.
-
D. Kazakov, N Opacak, M Beiser, A. Belyanin, B. Schwarz, M. Piccardo, F. Capasso:
"Defect-engineered ring laser harmonic frequency combs";
Optica,
8
(2021),
10;
1277
- 1280.
More information
-
D. Kehrer, G. Steinlesberger, K. Aufinger, H. Tischer, H.D. Wohlmuth, W. Simbürger, A.L. Scholtz:
"Prospects of Microstrip Waveguides in Aluminum and Copper Metallization for High-Frequency Applications";
Revista da Sociedade Brasileira de Telecomunicacoes,
18
(2003),
1;
1
- 9.
-
J. Keller, H. Dodt:
"Light sheet microscopy of living or cleared specimens";
Current Opinion in Neurobiology,
22
(2011),
1
- 6.
-
J. Keller, H. Dodt:
"Light sheet microscopy of living or cleared specimens";
Current Opinion in Neurobiology,
22
(2012),
138
- 143.
-
P.O. Kellermann, A. Ertl, E. Gornik:
"A new method of readout in radiochromic film dosimetry";
Physics in Medicine and Biology,
43
(1998),
2251
- 2263.
-
P.O. Kellermann, N. Finger, E. Gornik, M. Ost, F. Scholz, H. Schweizer:
"Wavelength-graded horizontal cavity laser array with postgrowth adjustment of wavelength";
IEEE Photonics Technology Letters,
12
(2000),
1138.
-
P.O. Kellermann, N. Finger, W. Schrenk, E. Gornik, R. Winterhoff, H. Schweizer, F. Scholz:
"Wavelength adjustable surface-emitting single-mode laser diodes with contradirectional surface-mode coupling";
Applied Physics Letters,
75
(1999),
3748.
-
P.O. Kellermann, Golshani, A. Köck, E. Gornik, H.P. Gaugel, R. Winterhoff:
"Single Mode and Single Beam Surface Emission from Visible Red GaInP/AlGaInP Laser Diodes";
Applied Physics Letters,
70
(1997),
2374.
-
K. Kempa, P. Bakshi, M. Ciftan, E. Gornik, K. Unterrainer, G. Strasser, C. Rauch:
"Plasmon Based Terahertz-Lasers Without Population Inversion";
THz Spectroscopy and Applications II,
3828
(1999),
151.
-
K. Kempa, E. Gornik, K. Unterrainer, M. Kast, G. Strasser:
"Resonant Tunneling mediated by resonant emission of inter-subband plasmons";
Physical Review Letters,
86
(2001),
2850.
-
K. Kempa, Y. Zhou, J.R. Engelbrecht, P. Bakshi, H. I. Ha, J. Moser, M. J. Naughton, J. Ulrich, G. Strasser, E. Gornik, K. Unterrainer:
"Intersubband transport in quantum wells in strong magnetic fields mediated by single- and two- electron scattering";
Physical Review Letters,
88
(2002),
226803.
-
M. Keplinger, R. Grifone, J.M. Greil, D. Kriegner, J. Persson, A. Lugstein, T. Schülli, J. Stangl:
"Strain distribution in single, suspended germanium nanowires studied using nanofocused x-rays";
Nanotechnology,
27
(2016),
1
- 10.
-
R. Kersting, R. Bratschitsch, G. Strasser, K. Unterrainer, J.N. Heyman:
"Sampling a terahertz dipole transition with subcycle time resolution";
Optics Letters,
25
(2000),
272.
-
R. Kersting, J.N. Heyman, G. Strasser, K. Unterrainer:
"Coherent volume plasmons in n-doped GaAs";
Physical Review B,
58
(1998),
4553.
-
R. Kersting, J.N. Heyman, G. Strasser, K. Unterrainer:
"Driving Intersubband Transitions with THz Pulses in Ultrafast Phenomena XI";
Springer Series in Chemical Physics,
63
(1998),
208.
-
R. Kersting, G. Strasser, K. Unterrainer:
"Demonstration of a THz phase modulator";
Electronics Letters,
36
(2000),
1156.
-
R. Kersting, K. Unterrainer, G. Strasser, E. Gornik:
"Coherent few-cycle THz emission from plasmons in bulk GaAs";
Physica Status Solidi B - Basic Solid State Physics,
204
(1997),
67.
-
R. Kersting, K. Unterrainer, G. Strasser, H.F. Kauffmann, E. Gornik:
"Few-cycle THz emission from cold plasma oscillations";
Physical Review Letters,
79
(1997),
3038.
-
N. Kheirodin, L. Nevou, H. Machhadani, P. Crozat, L. Vivien, M. Tchernycheva, A. Lupu, F.H. Julien, G. Pozzovivo, S. Golka, G. Strasser, G. Guillot, E. Monroy:
"Electrooptical Modulator at Telecommunication Wavelengths Based on GaN-AlN Coupled Quantum Wells";
IEEE Photonics Technology Letters,
20
(2008),
9;
724
- 726.
-
N. Kheirodin, L. Nevou, H. Machhadani, M. Tchernycheva, A. Lupu, F.H. Julien, P. Crozat, L. Meignien, E. Warde, L. Vivien, G. Pozzovivo, S. Golka, G. Strasser, F. Guillot, E. Monroy, T. Remmele, M. Albrecht:
"Electro-optical intersubband modulators at telecommunication wavelengths based on GaN/AlN quantum wells";
Physica Status Solidi (c),
205
(2008),
1093
- 1095.
-
H. Kim, G. Hobler:
"Ion Beam Induced Micro/Nano Fabrication: Modeling";
Journal of the Korean Society for Precision Engineering,
24
(2007),
8;
108
- 115.
-
H. Kim, G. Hobler:
"Ion Beam Induced Micro/Nano Fabrication: Shape Fabrication";
Journal of the Korean Society for Precision Engineering,
24
(2007),
10;
109
- 116.
-
H. Kim, G. Hobler, A. Lugstein, E. Bertagnolli:
"Simulation of ion beam induced micro/nano fabrication";
Journal of Micromechanics and Microengineering,
17
(2007),
1178;
1183.
-
H. Kim, G. Hobler, A. Steiger-Thirsfeld, A. Lugstein, E. Bertagnolli:
"Full three-dimensional simulation of focused ion beam micro/nanofabrication";
Nanotechnology,
18
(2007),
2453031
- 2453038.
-
H. Kim, G. Hobler, A. Steiger-Thirsfeld, A. Lugstein, E. Bertagnolli:
"Level set approach for the simulation of focused ion beam processing on the micro/nano scale";
Nanotechnology,
18
(2007),
2653071
- 2653076.
-
H. Kim, G. Hobler, A. Steiger-Thirsfeld, A. Lugstein, E. Bertagnolli:
"Simulation-based approach for the accurate fabrication of blazed grating sturctures by FIB";
Optics Express,
15
(2007),
15;
9444
- 9449.
-
H. Kim, G. Hobler, A. Steiger-Thirsfeld, A. Lugstein, E. Bertagnolli, E. Platzgummer, H. Löschner:
"Sputter-Redeposition Method for the Fabrication of Automatically Sealed Micro/Nanochannel using FIBs";
International Journal Of Precision Engineering And Manufacturing,
12
(2012),
5;
893
- 898.
-
T. Knobloch, Yu. Illarionov, F. Ducry, C. Schleich, S. Wachter, K. Watanabe, T. Taniguchi, T. Müller, M. Waltl, M. Lanza, M. I. Vexler, M. Luisier, T. Grasser:
"The Performance Limits of Hexagonal Boron Nitride as an Insulator for Scaled CMOS Devices Based on Two-Dimensional Materials";
Nature Electronics,
4
(2021),
2;
98
- 108.
More information
-
H. Knötig, B. Hinkov, R. Weih, S. Höfling, J. Koeth, G. Strasser:
"Continous-wave operation of vertically emitting ring interband cascade lasers at room temperature";
Applied Physics Letters,
116
(2020),
131101;
131101-1
- 131101-6.
More information
-
A. Köck, S. Freisleben, C. Gmachl, E. Gornik, M. Rosenberger, L. Korte, P.L. de Souza:
"Surface mode coupling in GaAs/AlGaAs laser diodes - A novel concept for a single laser mode emission";
Applied Physics Letters,
67
(1995),
452.
-
A. Köck, Golshani, R. Hainberger, E. Gornik, L. Korte:
"Large Digital Beam Steering From Surface Emitting Laser Diodes Based on Surface Mode Emission";
Applied Physics Letters,
69
(1996),
3638.
-
H. Köck, C. Djelassi, S. de Filippis, R. Illing, M. Nelhiebel, M. Ladurner, M. Glavanovics, D. Pogany:
"Improved thermal management of low voltage power devices with optimized bond wire positions";
Microelectronics Reliability,
51
(2011),
1913
- 1918.
-
H. Köck, V. Kosel, C. Djelassi, M. Glavanovics, D. Pogany:
"IR thermography and FEM simulation analysis of on-chip temperature during thermal-cycling power-metal reliability testing using in-situ heated structures";
Microelectronics Reliability,
49
(2009),
1132
- 1136.
-
C Koller, L Lymperakis, D. Pogany, G. Pobegen, C. Ostermaier:
"Mechanism leading to semi-insulating property of carbon-doped GaN: Analysis of donor acceptor ratio and method for its determination";
Journal of Applied Physics,
130
(2021),
185702;
185702-1
- 185702-11.
More information
-
C Koller, G. Pobegen, C. Ostermaier, G. Hecke, R. Neumann, M. Holzbauer, G. Strasser, D. Pogany:
"Trap-Related Breakdown and Filamentary Conduction in Carbon Doped GaN";
Physica Status Solidi B - Basic Solid State Physics,
256
(2019),
1800527;
1800527-1
- 1800527-8.
More information
-
C Koller, G. Pobegen, C. Ostermaier, M. Huber, D. Pogany:
"The interplay of blocking properties with charge and potential redistribution in thin carbon-doped GaN on n-doped GaN layers";
Applied Physics Letters,
111
(2017),
0321061
- 0321065.
-
C. Koller, G. Pobegen, C. Ostermaier, D. Pogany:
"Effect of carbon doping on charging/discharging dynamics and leakage behavior of carbon-doped GaN";
IEEE Transactions on Electron Devices,
65
(2018),
12;
7 pages.
-
D. Kovac, G. Hobler:
"Amorphous pocket model based on the modified heat transport equation and local lattice collapse";
Nuclear Instruments & Methods B,
267
(2009),
1229
- 1231.
-
D. Kovac, G. Hobler:
"Investigation of the impact of defect models on Monte Carlo simulations of RBS/C spectra";
Nuclear Instruments & Methods B,
249
(2006),
776
- 779.
-
D. Kovac, G. Otto, G. Hobler:
"Modeling of amorphous pocket formation in silicon by numerical solution of the heat transport equation";
Nuclear Instruments & Methods B,
228
(2005),
226
- 229.
-
M. Krall, D. Bachmann, C. Deutsch, M. Brandstetter, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"All-Electrical Thermal Monitoring of Terahertz Quantum Cascade Lasers";
IEEE Photonics Technology Letters,
26
(2014),
14;
1470
- 1473.
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"From Photonic Crystal to Subwavelength Micropillar Array Terahertz Lasers";
IEEE Journal of Selected Topics in Quantum Electronics (invited),
21
(2015),
6;
8500112-1
- 8500112-12.
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Subwavelength micropillar array terahertz lasers";
Optics Express,
22
(2014),
1;
274
- 282.
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Towards nanowire-based terahertz quantum cascade lasers: prospects and technological challenges";
Proceedings of SPIE,
8640
(2013),
864018;
864018-1
- 864018-7.
-
M. Krall, M. Martl, D. Bachmann, C. Deutsch, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Coupled cavity terahertz quantum cascade lasers with integrated emission monitoring";
Optics Express,
23
(2015),
3;
3581
- 3588.
-
C. Kranz, G. Friedbacher, B. Mizaikoff, A. Lugstein, J. Smoliner, E. Bertagnolli:
"Integrating an Ultramicroelectrode in an AFM Cantilever. Combined Power for Enhanced Information";
Analytical Chemistry,
73
(2001),
2491
- 2500.
-
C. Kranz, A. Kueng, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"Mapping of enzyme activity by detection of enzymatic products during AFM imaging with integrated SECM-AFM probes";
Ultramicroscopy,
100
(2004),
127
- 134.
-
S. Kratz, C. Eilenberger, P. Schuller, B. Bachmann, S. Spitz, P. Ertl, M. Rothbauer:
"Characterization of four functional biocompatible pressure-sensitive adhesives for rapid prototyping of cell-based lab-on-a-chip and organ-on-a-chip systems";
Scientific Reports,
9
(2019),
1;
1
- 12.
-
S. Kratz, G. Höll, P. Schuller, P. Ertl, M. Rothbauer:
"Latest Trends in Biosensing for Microphysiological Organs-on-a-Chip and Body-on-a-Chip Systems";
Biosensors,
9
(2019),
3.
-
A. Krause, U. Langklotz, D. Pohl, O. Tkacheva, D. Pohl, K. Nielsch, T. Mikolajick, W. Weber:
"Surface related differences between uncoated versus carbon-coated Silicon Nanowire Electrodes on performance in Lithium Ion Batteries";
Journal of Energy Storage,
27
(2020),
1010521
- 1010528.
-
J. Kröll, J. Darmo, K. Unterrainer:
"Time and frequency resolved THz spectroscopy of micro- and nano-systems";
Acta Physica Polonica A,
107
(2005),
1;
92
- 98.
-
P. Kruck, G. Strasser, M. Helm, L. Hvozdara, E. Gornik:
"Quantum Cascade Electroluminescence in GaAs/AlGaAs Structures";
Physica,
E 2
(1998),
449.
-
L. Krüger, J. Hillbrand, J. Heidrich, M Beiser, R. Weih, J. Koeth, C.R. Phillips, B. Schwarz, G. Strasser, U. Keller:
"High-speed interband cascade infrared photodetectors: photo-response saturation by a femtosecond oscillator";
Optics Express,
29
(2021),
9;
14087
- 14100.
More information
-
Kuehn, W. Parz, A. Gaal, K. Reimann, M. Woerner, T. Elsaesser, T. Müller, J. Darmo, K. Unterrainer, M. Austerer, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Ultrafast phase-resolved pump-probe measurements on a quantum cascade laser";
Applied Physics Letters (invited),
93
(2008),
151106-1
- 151106-3.
-
A. Kueng, C. Kranz, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"AFM-Tip-Integrated Amperometric Microbiosensors: High-Resolution Imaging of Membrane Transport";
Angewandte Chemie,
117
(2005),
3485
- 3488.
-
A. Kueng, C. Kranz, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"AFM-Tip-Integrated Amperometric Microbiosensors: High-Resolution Imaging of Membrane Transport";
Angewandte Chemie - International Edition,
44
(2005),
3419
- 3422.
-
A. Kueng, C. Kranz, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"Integrated AFM-SECM in Tapping Mode: Simultanes Topographical and Electochemical Imaging of Enzyme Activity";
Angewandte Chemie,
42
(2003),
3238
- 3240.
-
A. Kueng, C. Kranz, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"Simultane topographische und elektrochemische Abbildung von Enzymaktivität mit integrierten AFM-SECM-Rasternahfeldsonden im AFM-Tapping-Modus";
Angewandte Chemie,
115
(2003),
3358
- 3360.
-
A. Kueng, C. Kranz, B. Mizaikoff, A. Lugstein, E. Bertagnolli:
"Combined scanning electrochemical atomic force microscopy for tapping mode imaging";
Applied Physics Letters,
82
(2003),
10;
1592
- 1594.
-
St Kuhn, S. Wachter, F. Wieser, J. Millen, A. Schneider, J. Schalko, U. Schmid, M. Trupke, Mark. Arndt:
"Nanoparticle detection in an open-access silicon microcavity";
Applied Physics Letters,
Vol. 111
(2017),
Issue 25;
2531071
- 2531074.
More information
-
J. Kuzmik, S. Bychikhin, R. Lossy, H. Würfl, M. di Forte Poisson, J.-P. Teyssier, C. Gaquière, D. Pogany:
"Transient self-heating effects in multifinger AlGaN/GaN HEMTs with metal airbridges";
Solid-State Electronics,
51
(2007),
969
- 974.
-
J. Kuzmik, S. Bychikhin, M. neuburger, A. Dadgar, A. Krost, E. Kohn, D. Pogany:
"Transient Thermal Characterization of AlGaN/GaN HEMTs Grown on Silicon";
IEEE Transactions on Electron Devices,
52
(2005),
8;
1698
- 1704.
-
J. Kuzmik, S. Bychikhin, E. Pichonat, C. Gaquière, E. Morvan, E. Kohn, J.-P. Teyssier, D. Pogany:
"Self-heating phenomena in high-power III-N transistors and new thermal characterization methods developed within EU project TARGET";
International Journal of Microwave and Wireless Technologies,
1
(2010),
2;
153
- 160.
-
J. Kuzmik, S. Bychikhin, D. Pogany, C. Gaquière, E. Morvan:
"Current conduction and saturation mechanism in AlGaN/GaN ungated structures";
Journal of Applied Physics,
99
(2006),
1237201
- 1237207.
-
J. Kuzmik, S. Bychikhin, D. Pogany, C. Gaquière, E. Pichonat, E. Morvan:
"Investigation of the thermal boundary resistance at the III-Nitride/substrate interface using optical methods";
Journal of Applied Physics,
101
(2007),
0545081
- 0545086.
-
J. Kuzmik, S. Bychikhin, D. Pogany, E. Pichonat, O. Lancry:
"Thermal characterization of MBE-grown GaN/AlGaN/GaN device on single crystalline diamond";
Journal of Applied Physics,
109
(2011),
0861061
- 0861063.
-
J. Kuzmik, J. Carlin, M. Gonschorek, A. Kostopoulos, G. Konstantinidis, G. Pozzovivo, S. Golka, A. Georgakilas, N. Grandjean, G. Strasser, D. Pogany:
"Gate-lag and drain-lag effects in (GaN)/InAlN/GaN and InAlN/AlN/GaN HEMTs";
Physica Status Solidi A,
204
(2007),
2019
- 2022.
-
J. Kuzmik, C. Fleury, A. Adikimenakis, D. Gregusova, M. Tapajna, E Dobrocka, S. Hascik, M. Kucera, R. Kudela, M. Androulidaki, D. Pogany, A. Georgakilas:
"Current conduction mechanism and electrical break-down in InN grown on GaN";
Applied Physics Letters,
110
(2017),
232103;
232103-1
- 232103-4.
More information
-
J. Kuzmik, G. Konstantinidis, S. Harasek, S. Hascik, E. Bertagnolli, A. Georgakilas, D. Pogany:
"ZrO2/(Al)GaN metal-oxide-semiconductor structures: characterization and application";
Semiconductor Science and Technology,
19
(2004),
1364
- 1368.
-
J. Kuzmik, T. Kostopoulos, G. Konstantinidis, J. Carlin, A. Georgakilas, D. Pogany:
"InAlN/GaN HEMTs: A first insight into technological optimization";
IEEE Transactions on Electron Devices,
53
(2006),
3;
422
- 426.
-
J. Kuzmik, C. Ostermaier, G. Pozzovivo, B. Basnar, W. Schrenk, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean, Y. Douvry, C. Gaquière, J. De Jaeger, K. Cico, K. Fröhlich, J. Skriniarova, J. Kovac, G. Strasser, D. Pogany, E. Gornik:
"Proposal and Performance Analysis of Normally Off n++ GaN/InAlN/AlN/GaN HEMTs With 1-nm-Thick InAlN Barrier";
IEEE Transactions on Electron Devices,
57
(2010),
9;
2144
- 2154.
-
J. Kuzmik, D. Pogany, E. Gornik, P. Javorka, P. Kordos:
"Electrical overstress in AlGaN/GaN HEMTs: study of degradation processes";
Solid-State Electronics,
48
(2004),
271
- 276.
-
J. Kuzmik, D. Pogany, E. Gornik, P. Javorka, P. Kordos:
"Electrostatic discharge effects in AlGaN/GaN high-electron-mobility transitors";
Applied Physics Letters,
83
(2003),
22;
4655
- 4657.
-
J. Kuzmik, G. Pozzovivo, S. Abermann, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean, E. Bertagnolli, G. Strasser, D. Pogany:
"Technology and Performance of InAlN/AlN/GaN HEMTs With Gate Insulation and Current Collapse Suppression Using ZrO2 or HfO2";
IEEE Transactions on Electron Devices,
55
(2008),
3;
937
- 941.
-
J. Kuzmik, G. Pozzovivo, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany, E. Gornik:
"Off-state breakdown in InAlN/AlN/GaN high electron mobility transistors";
Physica Status Solidi (c),
6
(2009),
52;
5925
- 5928.
-
J. Kuzmik, G. Pozzovivo, C. Ostermaier, G. Strasser, D. Pogany, E. Gornik, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean:
"Analysis of degradation mechanisms in lattice-matched InAlN/GaN high-electron-mobility transistors";
Journal of Applied Physics,
106
(2009),
1245031
- 1245037.
-
J. Kuzmik, M. Tapajna, L. Válik, M. Molnar, D. Donoval, C. Fleury, D. Pogany, G. Strasser, O. Hilt, F. Brunner, J. Würfl:
"Self-Heating in GaN Transistors Designed for High-Power Operation";
IEEE Transactions on Electron Devices,
61
(2014),
10;
3429
- 3434.
More information
-
J. Kuzmik, S. Vitanov, C. Dua, J. Carlin, C. Ostermaier, A. Alexewicz, G. Strasser, D. Pogany, E. Gornik, N. Grandjean, S. Delage, V. Palankovski:
"Buffer-Related Degradation Aspects of Single and Double-Heterostructure Quantum Well InAlN/GaN High-Electron-Mobility Transistors";
Japanese Journal of Applied Physics,
51
(2012),
054102-1
- 054102-5.
More information
-
M. Lackner, C. Forsich, F. Winter, S. Anders, G. Strasser:
"Investigation of biomass steam gasification gas using a GaAs based quantum cascade laser emitting at 11 µm";
Optics Communications,
216
(2003),
357
- 360.
-
P. Lagger, M. Reiner, D. Pogany, C. Ostermaier:
"Comprehensive Study of the Complex Dynamics of Forward Bias-Induced Threshold Voltage Drifts in GaN Based MIS-HEMTs by Stress/Recovery Experiments";
IEEE Transactions on Electron Devices,
61
(2014),
4;
1022
- 1030.
-
P. Lagger, A. Schiffmann, G. Pobegen, D. Pogany, C. Ostermaier:
"Very Fast Dynamics of Threshold Voltage Drifts in GaN-Based MIS-HEMTs";
IEEE Electron Device Letters,
34
(2013),
9;
1112
- 1114.
-
P. Lagger, P. Steinschifter, M. Reiner, M. Stadtmüller, G. Denifl, A. Naumann, J. Müller, L. Wilde, J. Sundqvist, D. Pogany, C. Ostermaier:
"Role of the dielectric for the charging dynamics of the dielectric/barrier interface in AlGaN/GaN based metal-insulator-semiconductor structures under forward gate bias stress";
Applied Physics Letters,
105
(2014),
0335121
- 0335125.
-
N Lambert, A. Taylor, P. Hubik, J. Bulir, J. More-Chevalier, H Karaca, C. Fleury, J. Voves, Z. Soban, D. Pogany, V. Mortet:
"Modeling current transport in boron-doped diamond at high electric fields including self-heating effect";
Diamond and Related Materials,
109
(2020),
108003;
1
- 11.
More information
-
S. Lancaster, A. M. Andrews, M. Stöger-Pollach, A. Steiger-Thirsfeld, H. Groiss, W. Schrenk, G. Strasser, H. Detz:
"Influence of Boron Antisite Defects on the Electrical Properties of MBE-Grown GaAs Nanowires";
Physica Status Solidi B - Basic Solid State Physics,
256
(2010),
5;
1800368-1
- 1800368-5.
More information
-
S. Lancaster, A. M. Andrews, T. Zederbauer, D. MacFarland, G. Strasser, H. Detz:
"Schottky diode formation in GaAs nanowires by heterogeneous contact deposition";
Materials Today,
Proceedings 4
(2017),
7101
- 7106.
-
S. Lancaster, H. Groiss, T. Zederbauer, A. M. Andrews, D. MacFarland, W. Schrenk, G. Strasser, H. Detz:
"Suppression of axial growth by boron incorporation in GaAs nanowires grown by self-catalyzed molecular beam epitaxy";
Nanotechnology,
30
(2018),
6;
065602-1
- 065602-9.
More information
-
S. Lancaster, M. Kriz, M. Schinnerl, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Focused ion beam implantation for the nucleation of self-catalyzed III-V nanowires";
Microelectronic Engineering,
177
(2017),
93
- 97.
More information
-
R. Langegger, K. Hradil, A. Steiger-Thirsfeld, A. Lugstein, E. Bertagnolli:
"Peculiarities of temperature dependent ion beam sputtering and channeling of crystalline bismuth";
Nanotechnology,
25
(2014),
305302.
-
R. Langegger, A. Lugstein, M. Glaser, E. Bertagnolli, A. Steiger-Thirsfeld:
"High temperature focused ion beam response of graphite resulting in spontaneous nanosheet formation";
Journal of Vacuum Science & Technology B,
29
(2011),
6;
0618041
- 0618045.
-
C. Langerak, B. Murdin, C.M. Ciesla, J. Oswald, A. Homer, G. Springholz, G. Bauer, R.A. Stradling, M. Kamal-Saadi, E. Gornik, C.R. Pidgeon:
"Landau-level lifetimes in PbTe nipi Superlattices, PbTe/PbEuTe and InAs/AlSb Quantum Wells";
Physica,
E 2
(1998),
121.
-
H. Langfischer, B. Basnar, H. Hutter, E. Bertagnolli:
"Evolution of tungsten film deposition induced by focused ion beam";
Journal of Vacuum Science & Technology A,
20
(2002),
4;
1408
- 1415.
-
H. Langfischer, E. Bertagnolli:
"Focused ion beam prepared contacts of tungsten to silicon characterized by a cross-bridge Kelvin resistor approach";
Journal of Applied Physics,
93
(2003),
9;
5827
- 5829.
-
V. Lavchiev, A. Saeed, C. Hu, V. Dubec, D. Pogany, W. Hilber, W. Jantsch:
"Hybrid nanoimprinted laser based on conjugated conductive polymer and nanocrystal quantum dots";
Optics Letters,
35
(2010),
6;
868
- 870.
-
T. Le, G. Tempea, A. Stingl, J. Darmo, G. Strasser, K. Unterrainer:
"Compact THz-source based on femtosecond Ti-Sapphire laser and intracavity photoconductive emitter";
SPIE-Int. Soc. Opt. Eng,
4978
(2003),
50
- 57.
-
S. Leconte, S. Golka, G. Pozzovivo, G. Strasser, T. Remmele, M. Albrecht, E. Monroy:
"Bi-stable behaviour in GaN-based resonant tunnelling diode structures";
Physica Status Solidi (c),
5
(2008),
2;
431
- 434.
-
M. Leicht, G. Fritzer, B. Basnar, S. Golka, J. Smoliner:
"A reliable course of Scanning Capacitance Microscopy analysis applied for 2D-Dopant Profilings of Power MOSFET Devices";
Microelectronics and Reliability,
41
(2001),
1535.
-
U. Leischner, A. Schierloh, W. Zieglgänsberger, H. Dodt:
"Formalin-Induced Fluorescence Reveals Cell Shape and Morphology in Biological Tissue Samples";
PLoS one,
5
(2010),
4;
1
- 8.
-
U. Leischner, W. Zieglgänsberger, H. Dodt:
"Resolution of Ultramicroscopy and Field of View Analysis";
PLoS ONE,
4
(2009),
6;
1
- 14.
-
K. Leonhardt, A. Avdic, A. Lugstein, I. Pobelov, T. Wandlowski, B. Gollas, G. Denuault:
"Scanning electrochemical microscopy: Diffusion controlled approach curves for conical AFM-SECM tips";
Electrochemistry Communications,
27
(2013),
29
- 33.
-
K. Leonhardt, A. Avdic, A. Lugstein, I. Pobelov, T. Wandlowski, M. Wu, B. Gollas, G. Denuault:
"Atomic Force Microscopy-Scanning Electrochemical Microscopy: Influence of Tip Geometry and Insulation Defects on Diffusion Controlled Currents at Conical Electrodes";
Analytical Chemistry,
83
(2011),
2971
- 2977.
-
B. Limbacher, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, A. M. Andrews, H. Detz, G. Strasser, A. Schwaighofer, B. Lendl, J. Darmo, K. Unterrainer:
"Resonant tunneling diodes strongly coupled to the cavity field";
Applied Physics Letters,
116
(2020),
22;
1
- 6.
-
B. Limbacher, S. Schönhuber, M. A. Kainz, N. Bachelard, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, K. Unterrainer:
"Deep learning control of THz QCLs";
Optics Express,
29
(2021),
15;
23611
- 23621.
-
B. Limbacher, S. Schönhuber, M. Wenclawiak, M. A. Kainz, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Terahertz optical machine learning for object recognition";
APL Photonics,
5
(2020),
12;
1
- 7.
-
Lin Y., K. Lim, A. M. Andrews, G. Strasser, J. Bird:
"Nonspin related giant magnetoresistance 600% in hybrid field-effect transistors with ferromagnetic gates";
Applied Physics Letters,
97
(2010),
0631081
- 0631083.
-
S. Lindsey, G. Hobler:
"Sputtering of silicon at glancing incidence";
Nuclear Instruments & Methods in Physics Research Section B,
303
(2013),
142
- 147.
-
S. Lindsey, G. Hobler:
"The significance of redeposition and backscattering in nanostructure formation by focused ion beams";
Nuclear Instruments & Methods in Physics Research Section B,
282
(2012),
12
- 16.
-
S. Lindsey, G. Hobler, D. Maciazek, Z. Postawa:
"Simple model of surface roughness for binary collision sputtering simulations";
Nuclear Instruments & Methods in Physics Research Section B,
393
(2017),
17
- 21.
-
S. Lindsey, S. Waid, G. Hobler, H. D. Wanzenböck, E. Bertagnolli:
"Inverse modeling of FIB milling by dose profile optimization";
Nuclear Instruments & Methods in Physics Research Section B,
341
(2014),
77
- 83.
-
M. Litzenberger, K. Esmark, D. Pogany, C. Fürböck, H. Gossner, E. Gornik, W. Fichtner:
"Study of tiggering inhomogeneities in gg-nMOS ESD protection devices via thermal mapping using backside laser interferometry";
Microelectronics and Reliability,
40
(2000),
1359
- 1364.
-
M. Litzenberger, C. Fürböck, S. Bychikhin, D. Pogany, E. Gornik:
"Scanning Heterodyne Interferometer Setup for the Time-Resolved Thermal and Free-Carrier Mapping in Semiconductor Devices";
IEEE Transactions on Instrumentation and Measurement,
54
(2005),
6;
2438
- 2444.
-
M. Litzenberger, R. Pichler, S. Bychikhin, D. Pogany, K. Esmark, H. Gossner, E. Gornik:
"Effect of pulse risetime on trigger homogeneity in single finger grounded gate nMOSFET electrostatic discharge protection devices";
Microelectronics and Reliability,
41
(2001),
1385
- 1390.
-
J. Liu, E. Gornik, S. Xu, H. Zheng:
"Sequential resonant tunneling through Landau levels in GaAs/AlAs superlattices";
Semiconductor Science and Technology,
12
(1997),
1422.
-
J. Liu, E. Gornik, S. Xu, H. Zheng:
"Sequential resonant tunneling through Landau levels in GaAs/AlAs superlattices";
Microelectronic Engineering,
43-44
(1998),
349
- 354.
-
S. Lo, Y. Wang, G. Bohra, E. Comfort, T. Lin, M. Kang, G. Strasser, J. Bird, C. Huang, H. Lin, J. Chen, C. Liang:
"Insulator, semiclassical oscillations and quantum Hall liquids at low magnetic fields";
Journal of Physics: Condensed Matter,
24
(2012),
4056011
- 4056017.
-
S. Lo, Y. Wang, S. Lin, G. Strasser, J. Bird, Y. Chen, C. Liang:
"Tunable insulator-quantum Hall transition in a weakly interacting two-dimensional electron system";
Nanoscale Res Lett,
8
(2013),
1
- 11.
-
S. Löffler, E. Auer, M. Weil, A. Lugstein, E. Bertagnolli:
"Impact of growth temperature on the crystal habits, forms and structures of VO2 nanocrystals";
Applied Physics A: Materials Science & Processing,
102
(2011),
201
- 204.
-
A. Lugstein, A. M. Andrews, M. Steinmair, Y.J. Hyun, E. Bertagnolli, M. Weil, P. Pongratz, M. Schramböck, T. Roch, G. Strasser:
"Growth of branched single-crystalline GaAs whiskers on Si nanowire trunks";
Nanotechnology,
18
(2007),
355306-1
- 355306-5.
More information
-
A. Lugstein, B. Basnar, E. Bertagnolli:
"Metallic nano dots realized by a subtractive self organization process";
Superlattices and Microstructures,
36
(2004),
107
- 111.
-
A. Lugstein, B. Basnar, E. Bertagnolli:
"Size and site controlled Ga nano dots on GaAs seeded by focused ion beams";
Virtual Journal of Nanoscale Science & Technology,
9
(2004),
16.
-
A. Lugstein, B. Basnar, E. Bertagnolli:
"Size and site controlled Ga nanodots on GaAs seeded by focused ion beams";
Journal of Vacuum Science & Technology A,
22
(2004),
888
- 892.
-
A. Lugstein, B. Basnar, E. Bertagnolli:
"Study of focused ion beam response of GaAs in the nanoscale regime";
Journal of Vacuum Science & Technology A,
20
(2002),
6;
2238
- 2242.
-
A. Lugstein, B. Basnar, E. Bertagnolli:
"Study of the chemical and morphological evolution of the GaAs surface after high fluence focused ion beam exposure";
Nuclear Instruments & Methods B,
217
(2004),
402
- 408.
-
A. Lugstein, B. Basnar, W. Brezna, M. Weil, S. Golka, E. Bertagnolli:
"Advanced nanopattern formation by a subtrative self-organization process with focused ion beams";
Nuclear Instruments & Methods B,
242
(2006),
93
- 95.
-
A. Lugstein, B. Basnar, G. Hobler, E. Bertagnolli:
"Current density profile extraction of focused ion beams based on atomic force microscopy contour profiling of nanodots";
Journal of Applied Physics,
92
(2002),
7;
4037
- 4042.
-
A. Lugstein, B. Basnar, J. Smoliner, E. Bertagnolli:
"FIB processing of silicon in the nanoscale regime";
Applied Physics A: Materials Science & Processing,
76
(2003),
545
- 548.
-
A. Lugstein, B. Basnar, J. Smoliner, E. Bertagnolli, M. Weil:
"Advanced nanoscale material processing with focused ion beams";
Journal of Vacuum Science & Technology B,
22
(2004),
6;
2995
- 2999.
-
A. Lugstein, J. Bernardi, C. Tomastik, E. Bertagnolli:
"Synthesis of nanowires in room temperature ambient: A focused ion beam approach";
Applied Physics Letters,
88
(2006),
1631141
- 1631143.
More information
-
A. Lugstein, E. Bertagnolli, C. Kranz, A. Kueng, B. Mizaikoff:
"Integrating Micro- and Nanoelectrodes into Atomic Force Microscopy Cantilevers using Focused Ion Beam Techniques";
Applied Physics Letters,
81
(2002),
349.
-
A. Lugstein, E. Bertagnolli, C. Kranz, B. Mizaikoff:
"Fabrication of a ring nanoelectrode in an AFM tip: novel approach towards simultaneous electrochemical and topographical imaging ";
Surface and Interface Analysis,
33
(2002),
146.
-
A. Lugstein, W. Brezna, G. Hobler, E. Bertagnolli:
"Method to characterize the three-dimensional distribution of focused ion beam induced damage in silicon after 50 keV Ga+ irradiation";
Journal of Vacuum Science & Technology A,
21
(2003),
5;
1644
- 1648.
-
A. Lugstein, W. Brezna, M. Stockinger, B. Goebel, L. Palmetshofer, E. Bertagnolli:
"Nonuniform-channel MOS device";
Applied Physics A: Materials Science & Processing,
76
(2003),
1035
- 1039.
-
A. Lugstein, Y.J. Hyun, M. Steinmair, B.K. Dielacher, G. Hauer, E. Bertagnolli:
"Some aspects of substrate pretreatment for epitaxial Si nanowire growth";
Nanotechnology,
19
(2008),
4856061
- 4856065.
-
A. Lugstein, M. Mijic, T. Burchhart, C. Zeiner, R. Langegger, M. Schneider, U. Schmid, E. Bertagnolli:
"In situ monitoring of Joule heating effects in germanium nanowires by -Raman spectroscopy";
Nanotechnology,
24
(2013),
1
- 5.
-
A. Lugstein, C. Schöndorfer, M. Weil, C. Tomastik, A. Jauss, E. Bertagnolli:
"Study of focused ion beam response of GaSb";
Nuclear Instruments & Methods B,
255
(2007),
309
- 313.
-
A. Lugstein, A. Steiger-Thirsfeld, B. Basnar, Y.J. Hyun, P. Pongratz, E. Bertagnolli:
"Impact of fluence-rate rlated effects on the sputtering of silicon at elevated target temperatures";
Journal of Applied Physics,
105
(2009),
0449121
- 0449125.
-
A. Lugstein, M. Steinmair, C. Henkel, E. Bertagnolli:
"Scalable Approach for Vertical Device Integration of Epitaxial Nanowires";
Nano Letters,
9
(2009),
5;
1830
- 1834.
-
A. Lugstein, M. Steinmair, Y.J. Hyun, E. Bertagnolli, P. Pongratz:
"Ga/Au alloy catalyst for single crystal silicon-nanowire epitaxy";
Applied Physics Letters,
90
(2007),
023109-1
- 023109-3.
More information
-
A. Lugstein, M. Steinmair, Y.J. Hyun, G. Hauer, P. Pongratz, E. Bertagnolli:
"Pressure-Induced Orientation Control of the Growth of Epitaxial Silicon Nanowires";
Nano Letters,
8
(2008),
8;
2310
- 2314.
-
A. Lugstein, M. Steinmair, A. Steiger-Thirsfeld, H. Kosina, E. Bertagnolli:
"Anomalous Piezoresistance Effect in Ultrastrained Silicon Nanowires";
Nano Letters,
10
(2010),
3204
- 3208.
More information
-
A. Lugstein, M. Weil, B. Basnar, C. Tomastik, E. Bertagnolli:
"A novel fabrication technique for crystallite growth on a (100) InAs surface utilizing focused ion beams ";
Nuclear Instruments & Methods in Physics Research Section B,
222
(2004),
1-2;
91
- 95.
More information
-
D. Lumpi, B. Holzer, J. Bintinger, E. Horkel, S. Waid, H. D. Wanzenböck, M. Marchetti-Deschmann, C. Hametner, E. Bertagnolli, I. Kymissis, J. Fröhlich:
"Substituted triphenylamines as building blocks for star shaped organic electronic materials";
New Journal of Chemistry,
39
(2015),
3;
1840
- 1851.
-
M. Luong, E. Robin, N Pauc, P. Gentile, T. Baron, B. Salem, M. Sistani, A. Lugstein, M. Spies, M. den Hertog:
"Reversible Al Propagation in SixGe1- x Nanowires: Implications for Electrical Contact Formation";
ACS Applied Nano Materials,
3
(2020),
10427
- 10436.
More information
-
M. Luong, E. Robin, N Pauc, P. Gentile, M. Sistani, A. Lugstein, M. Spies, B. Fernandez, M. den Hertog:
"In-Situ Transmission Electron Microscopy Imaging of Aluminium Diffusion in Germanium Nanowires for the Fabrication of Sub-10 nm Ge Quantum Disks";
ACS Applied Nano Materials,
3
(2020),
2;
1891
- 1899.
More information
-
A. Lupu, F.H. Julien, S. Golka, G. Pozzovivo, G. Strasser, E. Baumann, F. Giorgetta, D. Hofstetter, S. Nicolay, M. Mosca, E. Feltin, J. Carlin, N. Grandjean:
"Lattice-Matched GaN-InAlN Waveguides at λ = 1.55 μ m Grown by Metal-Organic Vapor Phase Epitaxy";
IEEE Photonics Technology Letters,
20
(2008),
2;
102
- 104.
-
B. Lutzer, O. Bethge, C. Zimmermann, J. Smoliner, E. Bertagnolli:
"In situ resistance measurements during physical vapor deposition of ultrathin metal films on Si(111) at room temperature";
Journal of Vacuum Science & Technology B,
35
(2017),
3;
0518021
- 0518027.
-
B. Lutzer, M. Hummer, S. Simsek, C. Zimmermann, A. Amsüss, H. Hutter, H. Detz, M. Stöger-Pollach, O. Bethge, E. Bertagnolli:
"Rhodium Germanide Schottky Barrier Contacts";
ECS Journal of Solid State Science and Technology,
4
(2015),
9;
P387
- P392.
More information
-
B. Lutzer, S. Simsek, C. Zimmermann, M. Stöger-Pollach, O. Bethge, E. Bertagnolli:
"Linearity optimization of atomic layer deposited ZrO2 metal-insulator-metal capacitors by inserting interfacial Zr-doped chromia layers";
Journal of Applied Physics,
119
(2016),
1253041
- 1253047.
-
H. Machhadani, P. Kandaswamy, S. Sakr, A. Vardi, A. Wirtmüller, L. Nevou, F. Guillot, G. Pozzovivo, M. Tchernycheva, A. Lupu, L. Vivien, P. Crozat, E. Warde, C. Bougerol, S. Schacham, G. Strasser, G. Bahir, E. Monroy, F.H. Julien:
"GaN/AlGaN intersubband optoelectronic devices";
New Journal of Physics,
11
(2009),
125023;
1
- 16.
-
M. Madl, W. Brezna, P. Klang, A. M. Andrews, G. Strasser, M. Bodnarchuk, M. Kovalenko, M. Yarema, W. Heiss, J. Smoliner:
"Mapping the Local Photoresponse of Epitaxial and Colloidal Quantum Dots by Photoconductive Atomic Force Microscopy";
AIP Conference Proceedings,
1399
(2011),
1073
- 1074.
-
M. Madl, W. Brezna, P. Klang, A. M. Andrews, G. Strasser, J. Smoliner:
"High resolution photocurrent imaging by atomic force microscopy on the example of single buried InAs quantum dots";
Semiconductor Science and Technology,
25
(2010),
1
- 4.
-
M. Madl, W. Brezna, G. Strasser, P. Klang, A. M. Andrews, M. Bodnarchuk, M. Kovalenko, M. Yarema, W. Heiss, J. Smoliner:
"AFM-based photocurrent imaging of epitaxial and colloidal QDs";
Physica Status Solidi (c),
8
(2011),
2;
426
- 428.
-
S. Maëro, L.-A. de Vaulchier, Y. Guldner, C. Deutsch, M. Krall, T. Zederbauer, G. Strasser, K. Unterrainer:
"Magnetic-field assisted performance of InGaAs/GaAsSb terahertz quantum cascade lasers";
Applied Physics Letters,
103
(2013),
5;
051116-1
- 051116-4.
-
G. Magerl, E. Gornik, E. Bonek, R. Weber:
"Field Pattern of TM01x-Mode in Dielectrically Loaded Rectangular Waveguide";
Archiv für Elektronik und Übertragungstechnik,
31
(1977),
256
- 257.
-
J. Maier, H. Detz:
"Atomistic modeling of interfaces in III-V semiconductor superlattices";
Physica Status Solidi B - Basic Solid State Physics,
253
(2016),
4;
613
- 622.
-
T. Maier, G. Strasser, E. Gornik:
"Monolithic integration of vertical-cavity laser diodes and resonant photodetectors with hybrid Si3N4/SiO2 top Bragg mirrors";
IEEE Photonics Technology Letters,
12
(2000),
119
- 121.
-
W. Mamanee, S. Bychikhin, D. Johnsson, N. Jensen, M. Stecher, E. Gornik, D. Pogany:
"Effect of Elevated Ambient Temperature on Thermal Breakdown Behavior in BCD ESD Protection Devices Subjected to Long Electrical Overstress Pulses";
IEEE Transactions on Device and Materials Reliability,
12
(2012),
3;
562
- 569.
-
W. Mamanee, D. Johnsson, P. Rodin, S. Bychikhin, V. Dubec, M. Stecher, E. Gornik, D. Pogany:
"Interaction of traveling current filaments and its relation to a nontrivial thermal breakdown scenario in avalanching bipolar transistor";
Journal of Applied Physics,
105
(2009),
0845011
- 0845015.
-
T. Mansuripur, C. Vernet, P. Chevalier, G. Aoust, B. Schwarz, F. Xie, C. Caneau, K. Lascola, C. Zah, D. Caffey, T. Day, L. Missaggia, M. Connors, C. Wang, A. Belyanin, F. Capasso:
"Single-mode instability in standing-wave lasers: The quantum cascade laser as a self-pumped parametric oscillator";
Physical Review A,
94
(2016),
6;
063807-1
- 063807-24.
More information
-
K.D. Maranowski, A.C. Gossard, K. Unterrainer, E. Gornik:
"Far-infrared emission from parabolically graded quantum wells";
Applied Physics Letters,
69
(1996),
3522.
-
P. Marko, A. Alexewicz, O. Hilt, G. Meneghesso, E. Zanoni, J. Würfl, G. Strasser, D. Pogany:
"Random telegraph signal noise in gate current of unstressed and reverse-bias-stressed AlGaN/GaN high electron mobility transistors";
Applied Physics Letters,
100
(2012),
1435071
- 1435073.
-
P. Marko, M. Meneghini, S. Bychikhin, D. Marcon, G. Meneghesso, E. Zanoni, D. Pogany:
"IV, noise and electroluminescence analysis of stress-induced percolation paths in AlGaN/GaN high electron mobility transistors";
Microelectronics Reliability,
52
(2012),
2194
- 2199.
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer:
"Gain and losses in THz quantum cascade laser with metal-metal waveguide";
Optics Express,
19
(2011),
2;
732
- 738.
-
M. Martl, J. Darmo, D. Dietze, K. Unterrainer, E. Gornik:
"Terahertz waveguide emitter with subwavelength confinement";
Journal of Applied Physics,
107
(2010),
013110;
1
- 5.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Excitation of terahertz surface plasmon polaritons on etched groove gratings";
Journal of the Optical Society of America B,
26
(2009),
3;
554
- 558.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"THz time domain spectroscopy of surface electromagnetic waves";
AIP Conference Proceedings,
1199
(2010),
479
- 480.
-
T. Mauersberger, I. Ibrahim, M. Grube, A. Heinzig, T. Mikolajick, W. Weber:
"Size effect of electron properties in highly arsenic-doped silicon nanowires";
Solid-State Electronics,
107724
(2019),
1
- 7.
More information
-
N Maurer, H Nissel, M. Egerbacher, E. Gornik, P. Schuller, H Traxler:
"Anatomical Evidence of Acupuncture Meridians in the Human Extracellular Matrix: Results from a Macroscopic and Microscopic Interdisciplinary Multicentre Study on Human Corpses";
Evidence-Based Complementary and Alternative Medicine,
6976892
(2019).
More information
-
K. Mayerhofer, J. Foisner, K. Piplits, G. Hobler, L. Palmetshofer, H. Hutter:
"Range evaluation in SIMS depth profiles of Er - implantations in silicon";
Applied Surface Science,
252
(2005),
1;
271
- 277.
-
E. Melnik, P. Müllner, O. Bethge, E. Bertagnolli, R. Hainberger, M. Lämmerhofer:
"Streptavidin binding as a model to characterize thiol-ene chemistry-based polyamine surfaces for reversible photonic protein biosensing";
Chemical Communications,
50
(2014),
19;
2424
- 2427.
More information
-
M. Meneghini, O. Hilt, C. Fleury, R. Silvestri, M. Capriotti, G. Strasser, D. Pogany, E. Bahat-Treidel, F. Brunner, A Knauer, J. Würfl, I. Rossetto, E. Zanoni, G. Meneghesso, I. Dalcanale:
"Normally-off GaN-HEMTs with p-type gate: Off-state degradation, forward gate-stress and ESD failure";
Microelectronics Reliability,
58
(2016),
177
- 184.
More information
-
M. Meneghini, A. Zanandrea, F. Rampazzo, A. Stocco, M. Bertin, G Cibin, D. Pogany, E. Zanoni, G. Meneghesso:
"Electrical and Electroluminescence Characteristics of AlGaN/GaN High Electron Mobility Transistors Operated in Sustainable Breakdown Conditions";
Japanese Journal of Applied Physics,
52
(2013),
17;
1
- 4.
-
B. Meng, B. Hinkov, N. Le Biavan, H.T. Hoang, D. Lefebvre, M. Hugues, D. Stark, M. Franckié, A. Torres-Pardo, J. Tamayo-Arriola, M. Bajo, A. Hierro, G. Strasser, J. Faist, J. Chauveau:
"Terahertz Intersubband Electroluminescence from Nonpolar m-plane ZnO Quantum Cascade Structures";
ACS Photonics,
8
(2021),
1;
343
- 349.
More information
-
U. Merc, C. Pacher, M. Topic, F. Smole, E. Gornik:
"Electron velocity in superlattices";
European Physical Journal B,
35
(2003),
443
- 447.
-
C. Messner, H. Kostner, R.A. Höpfel, K. Unterrainer:
"Time-resolved THz-Spectroscopy of Proton Bombarded inP";
Journal of the Optical Society of America B,
18
(2001),
1369.
-
J.S. Michaelis, K. Unterrainer, E. Gornik, E. Bauser:
"Electric and magnetic dipole two-photonabsorption in semiconductors";
Physical Review B,
B54
(1996),
7917.
-
T. Mikolajick, G Galderisi, S. Rai, M. Simon, R Böckle, M. Sistani, C. Cakirlar, N. Bhattacharjee, T. Mauersberger, A. Heinzig, A. Kumar, W. Weber, J. Trommer:
"Reconfigurable field effect transistors: A technology enablers perspective";
Solid-State Electronics,
194
(2022),
108381;
1
- 14.
More information
-
V. Mitin, A. Antipov, A. Sergeev, N. Vagidov, D. Eason, G. Strasser:
"Quantum Dot Infrared Photodetectors: Photoresponse Enhancement Due to Potential Barriers";
Nanoscale Res Lett,
1
(2010),
6 pages.
-
W. MoberlyChan, D. Adams, M. Aziz, G. Hobler, T. Schenkel:
"Fundamentals of Focused Ion Beam Nanostructural Processing: Below, At, and Above the Surface";
MRS Bulletin,
32
(2007),
424
- 432.
-
P Moens, S. Bychikhin, D. Pogany:
"Ruggedness of integrated VDMOS transistors under TLP stress";
IEEE Transactions on Device and Materials Reliability,
6
(2006),
3;
393
- 398.
-
P Moens, S. Bychikhin, K Reynders, D. Pogany, E. Gornik, M. Tack:
"Dynamics of integrated vertical DMOS transistors under 100ns TLP stress";
IEEE Transactions on Electron Devices,
52
(2005),
5;
1008
- 1013.
-
T. Moldaschl, T. Müller, S. Golka, W. Parz, G. Strasser, K. Unterrainer:
"Coherent control of ground state excitons in the nonlinear regime within an ensemble of InAs quantum dots";
Physica Status Solidi B - Basic Solid State Physics,
6
(2009),
4;
876
- 878.
-
T. Moldaschl, T. Müller, S. Golka, G. Strasser, K. Unterrainer:
"Acoustic phonon-assisted damping of Rabi oscillations in InAs quantum dots";
Physica E: Low-dimensional Systems and Nanostructures,
40
(2008),
6;
2013
- 2015.
-
T. Moldaschl, W. Parz, T. Müller, S. Golka, G. Strasser, K. Unterrainer:
"Two-photon spectral hole burning spectroscopy of InAs/GaAs quantum dots";
Applied Physics Letters,
97
(2010),
011903-1
- 011903-3.
-
W. Molnar, A. Lugstein, P. Pongratz, N. Auner, C. Bauch, E. Bertagnolli:
"Subeutectic Synthesis of Epitaxial Si-NWs with Diverse Catalysts Using a Novel Si Precursor";
Nano Letters,
10
(2010),
3957
- 3961.
-
W. Molnar, A. Lugstein, P. Pongratz, M. Seyring, M. Rettenmayr, C. Borschel, C. Ronning, N. Auner, C. Bauch, E. Bertagnolli:
"A General Approach toward Shape-Controlled Synthesis of Silicon Nanowires";
Nano Letters,
13
(2013),
21
- 25.
-
H. Momose, C. Hamaguchi, J. Smoliner, A. Köck, Wirner:
"Enhanced electron mobility in novel side-gated quantum wire structures";
Semiconductor Science and Technology,
11
(1996),
1065.
-
L. Monteagudo-Lerma, S. Valdueza-Felip, F. Naranjo, P. Corredera, L. Rapenne, E. Sarigiannidou, G. Strasser, E. Monroy, M. Gonzalez-Herraez:
"Waveguide saturable absorbers at 1.55 μm based on intraband transitions in GaN/AlN QDs";
Optics Express,
21
(2013),
23;
27578
- 27586.
-
H. Moser, A. Genner, J. Ofner, C. Schwarzer, G. Strasser, B. Lendl:
"Application of a ring cavity surface emitting quantum cascade laser (RCSE-QCL) on the measurement of H2S in a CH4 matrix for process analytics";
Optics Express,
24
(2016),
6;
6572
- 6585.
More information
-
O. Moutanabbir, B. Terreault, M. Chicoine, J. Simpson, T. Zahel, G. Hobler:
"Hydrogen/Deuterium-defect complexes involved in the ion cutting of Si (0 0 1) at the sub-100 nm scale";
Physica B: Condensed Matter,
376
(2006),
36
- 40.
-
M. Mühlberger, S. Ruttloff, D. Nees, A. Moharana, M. Belegratis, P. Taus, S. Kopp, H. D. Wanzenböck, A. Prinz, D. Fechtig:
"Nanoimprint Replication of Biomimetic, Multilevel Undercut Nanostructures";
Nanomaterials,
11
(2021),
1051;
1
- 10.
More information
-
D. Müller, T. Jagla, L. Bodart, N. Jährling, H. Dodt, K. Jagla, M. Frasch:
"Regulation and Functions of the lms Homeobox Gene during Development of Embryonic Lateral Transverse Muscles and Direct Flight Muscles in Drosophila";
PLoS one,
5
(2010),
12;
16 pages.
-
T. Müller, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Direct measurement of intersubband dynamics";
Physica B: Condensed Matter,
314
(2002),
259.
-
T. Müller, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Intersubband absorption dynamics in coupled quantum wells";
Applied Physics Letters,
79
(2001),
2755.
-
T. Müller, T. Moldaschl, W. Parz, S. Golka, G. Strasser, K. Unterrainer:
"Femtosecond spectral hole burning spectroscopy as a probe of exciton dynamics in quantum dots";
Acta Physica Polonica A,
113
(2008),
3;
777
- 782.
-
T. Müller, W. Parz, F. Schrey, G. Strasser, K. Unterrainer:
"Intraband relaxation of photoexcited electrons in GaAs/AIGaAs quantum wells and InAs/GaAs self-assembled quantum dots";
Semiconductor Science and Technology,
19
(2004),
287
- 289.
-
T. Müller, W. Parz, G. Strasser, K. Unterrainer:
"Influence of carrier-carrier interaction on time-dependent intersubband absorption in a semiconductor quantum well";
Physical Review B,
70
(2004),
155324-1
- 155324-5.
-
T. Müller, W. Parz, G. Strasser, K. Unterrainer:
"Pulse-induced quantum interference of intersubband transitions in coupled quantum wells";
Applied Physics Letters,
84
(2004),
1;
64
- 66.
-
T. Müller, F. F. Schrey, G. Strasser, K. Unterrainer:
"Ultrafast intraband spectroscopy of electron capture and relaxation in InAs/GaAs quantum dots";
Applied Physics Letters,
83
(2003),
17;
3572
- 3574.
-
T. Müller, G. Strasser, K. Unterrainer:
"Ultrafast spectral hole burning spectroscopy of exciton spin flip processes in InAs/GaAs quantum dots";
Applied Physics Letters,
88
(2006),
192105.
-
E. Mujagic, M. Austerer, S. Schartner, M. Nobile, L. Hoffmann, W. Schrenk, G. Strasser, M. Semtsiv, I. Bayrakli, M. Wienold, W. Masselink:
"Impact of doping on the performance of short-wavelength InP-based quantum-cascade lasers";
Journal of Applied Physics,
103
(2008),
0331041
- 0331044.
-
E. Mujagic, M. Austerer, S. Schartner, M. Nobile, P. Klang, L. Hoffmann, W. Schrenk, I. Bayrakli, M. Semtsiv, W. Masselink, G. Strasser:
"Impact of doping density in short-wavelength InP-based strain compensated quantum-cascade lasers";
Springer Proceedings in Physics: "Narrow Gap Semiconductor 2007",
119
(2008),
151
- 153.
-
E. Mujagic, C. Deutsch, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Vertically emitting terahertz quantum cascade ring lasers";
Applied Physics Letters,
95
(2009),
0111201
- 0111203.
-
E. Mujagic, L. Hoffmann, S. Schartner, M. Nobile, W. Schrenk, M. Semtsiv, M. Wienold, W. Masselink, G. Strasser:
"Low divergence single-mode surface emitting quantum cascade ring lasers";
Applied Physics Letters,
93
(2008),
1611011
- 1611013.
-
E. Mujagic, M. Nobile, H. Detz, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Ring cavity induced threshold reduction in single-mode surface emitting quantum cascade lasers";
Applied Physics Letters,
96
(2010),
311111
- 311113.
-
E. Mujagic, S. Schartner, L. Hoffmann, W. Schrenk, M. Semtsiv, M. Wienold, W. Masselink, G. Strasser:
"Grating-coupled surface emitting quantum cascade ring lasers";
Applied Physics Letters,
93
(2008),
0111081
- 0111083.
-
E. Mujagic, C. Schwarzer, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Ring-cavity surface-emitting lasers as a building block for tunable and coherent quantum cascade laser arrays";
Semiconductor Science and Technology,
26
(2010),
1
- 6.
-
E. Mujagic, C. Schwarzer, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Ring-cavity surface-emitting lasers as a building block for tunable and coherent quantum cascade laser arrays";
Semiconductor Science and Technology (invited),
26
(2011),
0140191
- 0140196.
-
E. Mujagic, C. Schwarzer, W. Schrenk, Y. Yao, J. Chen, C. Gmachl, G. Strasser:
"Strategies toward the realization of two-dimensional broadband and coherent quantum cascade ring laser arrays";
Optical Engineering,
49
(2010),
11;
1111131
- 1111138.
-
E. Mujagic, C. Schwarzer, Y. Yao, J. Chen, C. Gmachl, G. Strasser:
"Two-dimensional broadband distributed-feedback quantum cascade laser arrays";
Applied Physics Letters,
98
(2011),
1411011
- 1411013.
-
B. Murdin, W. Heiss, C. Langerak, S.C. Lee, I. Galbraith, G. Strasser, E. Gornik, M. Helm, C.R. Pidgeon:
"Direct observation of the LO phonon bottleneck in wide GaAs/AlGaAs quantum wells";
Physical Review B,
B55
(1997),
5171.
-
B. Murdin, M. Helm, W. Heiss, V. Rosskopf, G. Strasser, E. Gornik, M. Dür, S.M. Goodnick, C. Langerak, P. Kruck, S.C. Lee, I. Galbraith, C.R. Pidgeon:
"Time resolved study of intersubband relaxation...";
Superlattices and Microstructures,
19
(1996),
17.
-
B. Murdin, M. Kamal-Saadi, C.M. Ciesla, C.R. Pidgeon, C. Langerak, R.A. Stradling, E. Gornik:
"Landau level lifetimes in an InAs/AlSb quantum well determined by a picosecond far-infrared pump-probe technique";
Physica Status Solidi B - Basic Solid State Physics,
204
(1997),
155.
-
B. Murdin, N. Nasser, C. Langerak, W. Heiss, M. Helm, G. Strasser, E. Gornik, I. Galbraith, S.C. Lee, C.R. Pidgeon:
"Intersubband dynamics below the optical energy for single and coupled quantum well systems";
Physica Status Solidi B - Basic Solid State Physics,
204
(1997),
208.
-
S. Nakata, A. Uesugi, K. Sugano, F. Rossi, G. Salviati, A. Lugstein, Y. Isono:
"Strain engineering of core-shell SiC nanowires for mechanical and piezoresistive characterizations";
Nanotechnology,
30
(2019),
265702;
265702-1
- 265702-12.
More information
-
R. Nedzinskas, B. Cechavicius, J. Kavaliauskas, A. Cerskus, J. Kundrotas, V. Karpus, V Tamosiunas, G. Valusis, G. Fasching, K. Unterrainer, G. Strasser:
"Optical study of InAs quantum dot stacks embedded in GaAs/AIAs superlattices";
Physica Status Solidi (c),
12
(2009),
2710
- 2712.
-
R. Nedzinskas, B. Cechavicius, J. Kavaliauskas, V. Karpus, G. Krivaite, V Tamosiunas, G. Valusis, F. Schrey, K. Unterrainer, G. Strasser:
"Energy Spectrum of InAs Quantum Dots in GaAs/AlAs Superlattices";
Acta Physica Polonica A,
113
(2008),
975
- 978.
-
R. Nedzinskas, B. Cechavicius, J. Kavaliauskas, V. Karpus, D. Seliuta, V Tamosiunas, G. Valusis, G. Fasching, K. Unterrainer, G. Strasser:
"Modulated reflectance study of InAs quantum dot stacks embedded in GaAs/AIAs superlattice";
Journal of Applied Physics,
106
(2009),
064308
- 064312.
-
L. Nevou, N. Kheirodin, M. Tchernycheva, L. Meignien, P. Crozat, A. Lupu, E. Warde, F.H. Julien, G. Pozzovivo, S. Golka, G. Strasser, G. Guillot, E. Monroy, T. Remmele, M. Albrecht:
"Short-wavelength intersubband electroabsorption modulation based on electron tunneling between GaN/AlN coupled quantum wells";
Applied Physics Letters,
90
(2007),
2235111
- 2235113.
-
S. Nida, B. Hinkov, E. Gini, J. Faist:
"Characterization of iron doped indium phosphide as a current blocking layer in buried heterostructure quantum cascade lasers";
Journal of Applied Physics,
121
(2017),
094502;
1
- 5.
-
A.Y. Nikulin, A.W. Stevenson, H. Hashizume, D. Cookson, G. Hobler, S.W. Wilkins:
"Model-Independent determination of 2D strain distribution in ion implanted silicon crystals from x-ray diffraction data";
Semiconductor Science and Technology,
12(3)
(1997),
350
- 354.
-
M. Nobile, H. Detz, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Midinfrared intersubband absorption in InGaAs/GaAsSb multiple quantum wells";
Applied Physics Letters,
95
(2009),
0411021
- 0411023.
-
M. Nobile, P. Klang, E. Mujagic, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Quantum cascade laser utilising aluminium-free material system: InGaAs/ GaAsSb lattice-matched to InP";
Electronics Letters,
45
(2009),
20;
1
- 2.
-
M. Nobile, S. Schartner, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser, R. Meisels, F. Kuchar:
"Non-bandgap defect modes in the photoresponse of mid-IR photonic crystal detectors";
Journal of Physics: Condensed Matter,
20
(2008),
4542191
- 4542196.
-
K. Nordlund, F. Djurabekova, G. Hobler:
"Large fraction of crystal directions leads to ion channeling";
Physical Review B,
94
(2016),
214109;
1
- 20.
-
K. Nordlund, G. Hobler:
"Dependence of ion channeling on relative atomic number in compounds";
Nuclear Instruments & Methods in Physics Research Section B,
435
(2018),
61
- 69.
More information
-
G. Notermans, S. Bychikhin, D. Pogany, D. Johnsson, D. Maksimovic:
"HMM-TLP correlation for system-efficient ESD design";
Microelectronics Reliability,
52
(2012),
1012
- 1019.
-
G. Notermans, H. Ritter, S. Holland, D. Pogany:
"Dynamic Voltage Overshoot During Triggering on an SCR-Type ESD Protection";
IEEE Transactions on Device and Materials Reliability,
19
(2019),
4;
583
- 590.
-
S. Özcan, T. Khmelevska, S. Khmelevskyi, P. Mohn:
"Site-preferences and local spin-polarization of transition metal solute atoms in B2 type Ni-Al alloys";
Intermetallics,
17
(2009),
441
- 444.
More information
-
S. Özcan, T. Roch, G. Strasser, J. Smoliner, R. Franke, T. Fritz:
"Ballistic Electron Emission Microscopy/Spectroscopy on Au/Titanylphthalocyanine/GaAs Heterostructures";
IOP Journal of Physics: Conference Series,
61
(2007),
1371
- 1374.
-
S. Özcan, J. Smoliner, A. M. Andrews, G. Strasser, T. Dienel, R. Franke, T. Fritz:
"Ballistic electron transport through titalnylphthalocyanine films";
Virtual Journal of Nanoscale Science & Technology,
http://scitation.aip.org/journals/doc/APPLAB-ft/vol_90/iss_9/092107_1.html
(2007).
-
S. Özcan, J. Smoliner, A. M. Andrews, G. Strasser, T. Dienel, R. Franke, T. Fritz:
"Ballistic electron transport through titanylphthalocyanine films";
Applied Physics Letters,
90
(2007),
0921071
- 0921073.
-
S. Özcan, J. Smoliner, A. M. Andrews, G. Strasser, T. Dienel, T. Fritz:
"Ballistic electron mean free path of titanylphthalocyanine films grown on GaAs";
Physica Status Solidi (c),
5
(2008),
1;
386
- 389.
-
S. Özcan, J. Smoliner, A.M. Andrews, G. Strasser, T. Dienel, R. Franke, T. Fritz:
"Ballistic electron attenuation length in titanylphthalocyanine films grown on GaAs";
Semiconductor Science and Technology,
23
(2008),
0550081
- 0550084.
-
S. Özcan, J. Smoliner, T. Dienel, T. Fritz:
"Temperature dependent Schottky barrier height and Fermi Level pinning on Au/HBC/GaAs diodes";
Applied Physics Letters,
92
(2008),
1533091
- 1533093.
-
K. Ohtani, M. Beck, M. J. Süess, J. Faist, A. M. Andrews, T. Zederbauer, H. Detz, W. Schrenk, G. Strasser:
"Far-Infrared Quantum Cascade Lasers Operating in the AlAs Phonon Reststrahlen Band";
ACS Photonics,
3
(2016),
12;
2280
- 2284.
-
N Opacak, S. Dal Cin, J. Hillbrand, B. Schwarz:
"Frequency Comb Generation by Bloch Gain Induced Giant Kerr Nonlinearity";
Physical Review Letters,
127
(2021),
093902;
093902-1
- 093902-5.
-
N Opacak, F. Pilat, D. Kazakov, S. Dal Cin, G. Ramer, B. Lendl, F. Capasso, B. Schwarz:
"Spectrally resolved linewidth enhancement factor of a semiconductor frequeny comb";
Optica,
8
(2021),
9;
1227
- 1230.
More information
-
N Opacak, B. Schwarz:
"Theory of frequency-modulated combs in lasers with spatial hole burning, dispersion, and Kerr nonlinearity";
Physical Review Letters,
123
(2019),
243902;
243902-1
- 243902-5.
More information
-
C. Ostermaier, S. Ahn, K. Potzger, M. Helm, J. Kuzmik, D. Pogany, G. Strasser, J. Lee, S. Hahm, J. Lee:
"Study of Si implantation into Mg-doped GaN for MOSFETs";
Physica Status Solidi (c),
1
(2010),
1
- 3.
-
C. Ostermaier, P. Lagger, M. Alomari, P. Herfurth, D. Maier, A. Alexewicz, M. Poisson, S. Delage, G. Strasser, D. Pogany, E. Kohn:
"Reliability investigation of the degradation of the surface passivation of InAlN/GaN HEMTs using a dual gate structure";
Microelectronics Reliability,
52
(2012),
1812
- 1815.
-
C. Ostermaier, P. Lagger, G. Prechtl, A. Grill, T. Grasser, D. Pogany:
"Dynamics of Carrier Transport via AlGaN Barrier in AlGaN/GaN MIS-HEMTs";
Applied Physics Letters,
110
(2017),
173502;
1
- 4.
More information
-
C. Ostermaier, P. Lagger, M. Reiner, D. Pogany:
"Review of bias-temperature instabilities at the III-N/dielectric interface";
Microelectronics Reliability,
82
(2018),
62
- 83.
More information
-
C. Ostermaier, G. Pozzovivo, B. Basnar, W. Schrenk, J. Carlin, M. Gonschorek, N. Grandjean, A. Vincze, L. Tóth, B. Pecz, G. Strasser, D. Pogany, J. Kuzmik:
"Characterization of Plasma-Induced Damage of Selectively Recessed GaN/InAlN/AlN/GaN Heterostructures Using SiCl4 and SF6";
Japanese Journal of Applied Physics,
49
(2010),
1165061;
1165065.
-
C. Ostermaier, G. Pozzovivo, B. Basnar, W. Schrenk, M. Schmid, L. Tóth, B. Pécz, J. Carlin, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"Metal-related gate sinking due to interfacial oxygen layer in Ir/InAlN high electron mobility transistors";
Applied Physics Letters,
96
(2010),
2635151
- 2635153.
More information
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, A. M. Andrews, Y. Douvry, C. Gaquière, J. De Jaeger, L. Toth, B. Pecz, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"Improvements of High Performance 2-nm-thin InAlN/AlN Barrier Devices by Interface Engineering";
AIP Conference Proceedings,
1399
(2011),
905
- 906.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, Y. Douvry, C. Gaquière, J. DeJaeger, K. Cico, K. Fröhlich, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"Ultrathin InAIN/A1N Barrier HEMT With High Performancien NormallyOff Operation";
IEEE Electron Device Letters,
30
(2009),
10;
1030
- 1032.
-
J. Osvald, J. Kuzmik, G. Konstantinidis, P. Lobotka, A. Georgakilas:
"Temperature dependence of GaN Schottky diodes I-V characteristics";
Microelectronic Engineering,
81
(2005),
181
- 187.
-
G. Otto, G. Hobler, K. Gärtner:
"Defect characterization of low-energy recoil events in silicon using classical molecular dynamics simulation";
Nuclear Instruments & Methods B,
202
(2003),
114
- 119.
-
G. Otto, G. Hobler, L. Palmetshofer, K. Mayerhofer, K. Piplits, H. Hutter:
"Dose-rate dependence of damage formation in Si by N implantation as determined from channeling profile measurements";
Nuclear Instruments & Methods B,
242
(2006),
667
- 669.
-
G. Otto, G. Hobler, L. Palmetshofer, P. Pongratz:
"Amorphous pockets in Si: Comparison of coupled molecular dynamics and TEM image contrast simulations with experimental results";
Nuclear Instruments & Methods in Physics Research Section B,
255
(2007),
1;
105
- 109.
More information
-
G. Otto, G. Hobler, P. Pongratz, L. Palmetshofer:
"Is there an influence of ion-beam-induced interfacial amorphization on the a/c-interface depth in silicon at common implantation energies?";
Nuclear Instruments & Methods in Physics Research Section B,
253
(2006),
227
- 231.
More information
-
G. Otto, D. Kovac, G. Hobler:
"Coupled BC/kLMC simulations of the temperature dependence of implant damage formation in silicon";
Nuclear Instruments & Methods B,
228
(2005),
256
- 259.
-
C Pacher, W Boxleitner, E. Gornik:
"Coherent resonant tunneling time and velocity in finite periodic systems";
Physical Review B,
71
(2005),
1253171
- 1253181.
-
C. Pacher, E. Gornik:
"Adjusting the coherent transport in finite periodic superlattices";
Physical Review B,
68
(2003),
1553191
- 1553199.
-
C. Pacher, E. Gornik:
"Tunning of transmission function and tunneling time in finite periodic potentials";
Physica E: Low-dimensional Systems and Nanostructures,
21
(2004),
783
- 786.
-
C. Pacher, M. Kast, T. Roch, G. Strasser, E. Gornik:
"Hot electron spectroscopy of the GaAs/AlAs/GaAs band structure";
Semiconductor Science and Technology,
19
(2004),
102
- 103.
-
C. Pacher, C. Rauch, G. Strasser, E. Gornik, F. Elsholz, G. Kießlich, A. Wacker, E. Schöll:
"Antireflection coating for miniband transport and Fabry-Pérot resonances in GaAs/AlGaAs superlattics";
Applied Physics Letters,
79
(2001),
1486.
-
C. Pacher, G. Strasser, E. Gornik, F. Elsholz, G. Kießlich, A. Wacker, E. Schöll:
"Optics with ballistic electrons: antireflection coatings for GaAs/AlGaAs superlattices";
Physica E: Low-dimensional Systems and Nanostructures,
12
(2002),
285.
-
V Padovan, C Koller, G. Pobegen, C. Ostermaier, D. Pogany:
"Stress and recovery dynamics of drain current in GaN HD-GIT submitted to DC semi-on stress";
Microelectronics Reliability,
100-101
(2019),
113482;
1
- 5.
-
L. Palmetshofer, M. Gritsch, G. Hobler:
"Range of ion-implanted rare earth elements in Si and SiO2";
Materials Science and Engineering B,
B 81
(2001),
83.
-
A. Papp, C. Wiesmeyr, M. Litzenberger, H. Garn, W. Kropatsch:
"Train Detection and Tracking in Optical Time Domain Reflectometry (OTDR) Signals";
Pattern Recognition,
9796 German Conference on Pattern Recognition
(2016),
Lecture Notes in Computer Science (LNCS);
320
- 331.
More information
-
S. Park, D. Jeon, V. Sessi, J. Trommer, A. Heinzig, T. Mikolajick, G. Kim, W. Weber:
"Channel length dependent operation of ambipolar Schottky-barrier transistors on a single Si-nanowire";
ACS Applied Materials & Interfaces,
12
(2020),
39;
43927
- 43932.
-
W. Parz, T. Müller, J. Darmo, M. Austerer, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Intersubband gain-induced dispersion";
Optics Letters,
Vol. 34
(2009),
2;
208
- 210.
-
W. Parz, T. Müller, J. Darmo, M. Austerer, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Ultrafast Spectroscopy As A Probe Of Light-Matter Interaction In A Midinfrared Quantum Cascade Laser";
AIP Conference Proceedings,
1199
(2010),
163
- 164.
-
W. Parz, T. Müller, J. Darmo, K. Unterrainer, M. Austerer, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Ultrafast probing of light-matter interaction in a midinfrared quantum cascade laser";
Applied Physics Letters,
93
(2008),
091105-1
- 091105-3.
-
M. Paur, A.J. Molina- Mendoza, R. Bratschitsch, K. Watanabe, T. Taniguchi, T. Müller:
"Electroluminescence from multi-particle exciton complexes in monolayer WSe2";
arXiv.org Cornell University Library,
1812.03832
(2018).
-
M. Paur, A.J. Molina- Mendoza, R. Bratschitsch, K. Watanabe, T. Taniguchi, T. Müller:
"Electroluminescence from multi-particle exciton complexes in monolayer WSe2";
Nature Communications,
10
(2019),
1709;
1
- 7.
-
M. Paur, A.J. Molina- Mendoza, D.K Polyushkin, S.M. de Vasconcellos, R. Bratschitsch, T. Müller:
"Resonant photocurrent from a single quantum emitter in tungsten diselenide";
2D Materials,
7
(2020),
4;
1
- 7.
-
L. Pelaz, G.H. Gilmer, M. Jaraiz, S.B. Herner, H.-J. Gossmann, D.J. Eaglesham, G. Hobler, C.S. Rafferty, J. Barbolla:
"Modeling of the ion mass effect on transient enhanced diffusion: deviation from the "+1" model";
Applied Physics Letters,
73(10)
(1998),
1421
- 1423.
-
M. Pende, K. Becker, M. Wanis, S. Saghafi, R. Kaur, C. Hahn, N. Pende, M. Foroughipour, T. Hummel, H. Dodt:
"high-resolution ultramicroscopy of the developing and adult nervous system in optically cleared drosophila melanogaster";
Nature Communications,
9
(2018),
4731.
More information
-
M. Pende, K. Vadiwala, H. Schmidbaur, A. Stockinger, P. Murawala, S. Saghafi, M. Dekens, K. Becker, R. Revilla-Domingo, S. Papadopoulos, M. Zurl, P. Pasierbek, O. Simakov, E. Tanaka, F. Raible, H. Dodt:
"A versatile depigmentation, clearing, and labeling method for exploring nervous system diversity";
Science Advances,
6
(2020),
22;
1
- 11.
More information
-
R. Penjweini, S. Mokmeli, K. Becker, H. Dodt, S. Saghafi:
"Effects of UV-, Visible-, Near-Infrared Beams in Three Therapy Resistance Case Studies of Fungal Skin infections";
Optics and Photonics Journal,
3
(2013).
-
P. Pertl, M. Seifner, C. Herzig, A. Limbeck, M. Sistani, A. Lugstein, S. Barth:
"Solution-based low-temperature synthesis of germanium nanorods and nanowires";
Monatshefte Fur Chemie,
149
(2018),
8;
5 pages.
More information
-
C. Pflügl, M. Austerer, S. Golka, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser:
"Second-harmonic generation in three-well and bound-to-continuum GaAs-based quantum-cascade lasers";
Applied Physics B - Lasers and Optics,
85
(2006),
231
- 234.
-
C. Pflügl, M. Austerer, W. Schrenk, S. Golka, A. M. Andrews, G. Strasser:
"High power second-order distributed feedback quantum cascade lasers";
Physica Status Solidi A,
202
(2005),
6;
977.
-
C. Pflügl, M. Austerer, W. Schrenk, S. Golka, G. Strasser:
"Surface emitting quantum cascade lasers";
Opto-Electronics Review (invited),
12
(2004),
4;
361
- 363.
-
C. Pflügl, M. Austerer, W. Schrenk, S. Golka, G. Strasser, R. Green, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Single-mode surface-emitting quantum-cascade lasers";
Applied Physics Letters,
86
(2005),
211102.
-
C. Pflügl, M. Austerer, W. Schrenk, G. Strasser:
"Second-harmonic gereration in GaAs-based quantum-cascade lasers grown on <100> substrates";
Electronics Letters,
41
(2005),
24;
1331
- 1332.
-
C. Pflügl, M. Litzenberger, W. Schrenk, S Anders, D. Pogany, E. Gornik, G. Strasser:
"Interferometric temperature mapping of GaAs-based quantum cascade laser";
Institute of Physics Conference Series,
174
(2003),
375
- 378.
-
C. Pflügl, M. Litzenberger, W. Schrenk, D. Pogany, E. Gornik, G. Strasser:
"Interferometric study of thermal dynamics in GaAs-based quantum-cascade lasers";
Applied Physics Letters,
82
(2003),
1664.
-
C. Pflügl, W. Schrenk, S. Anders, G. Strasser:
"Spectral dynamics of distributed feedback quantum cascade lasers";
Semiconductor Science and Technology,
19
(2004),
336
- 338.
-
C. Pflügl, W. Schrenk, S. Anders, G. Strasser, C. Becker, C. Sirtori, C. Bonetti, A. Müller:
"High-temperature performance of GaAs-based bound-to-continuum quantum-cascade lasers";
Applied Physics Letters,
83
(2003),
23;
4698
- 4700.
-
M. Pfost, D. Costachescu, A. Mayerhofer, M. Stecher, S. Bychikhin, D. Pogany, E. Gornik:
"Accurate Temperature Measurements of DMOS Power Transistors up to Thermal Runaway by Small Embedded Sensors";
IEEE Transactions on Semiconductor Manufacturing,
25
(2012),
3;
294
- 302.
-
M. Piccardo, P. Chevalier, B. Schwarz, D. Kazakov, Y. Wang, A. Belyanin, F. Capasso:
"Frequency-Modulated Combs Obey a Variational Principle";
Physical Review Letters,
122
(2019),
253901;
253901-1
- 253901-6.
More information
-
M. Piccardo, D. Kazakov, B. Schwarz, P. Chevalier, A. Amirzhan, J. Hillbrand, S. AlMutairi, Y. Wang, F. Xie, K. Lascola, S. Becker, L. Hildebrandt, R. Weih, A. Belyanin, F. Capasso:
"Light and Microwaves in Laser Frequency Combs: An Interplay of Spatiotemporal Phenomena";
IEEE Journal of Selected Topics in Quantum Electronics,
25
(2019),
6;
9200112-1
- 9200112-6.
More information
-
M. Piccardo, B. Schwarz, D. Kazakov, M Beiser, N Opacak, Y. Wang, S. Jha, J. Hillbrand, M. Tamagnone, W. Chen, A. Zhu, L. Columbo, A. Belyanin, F. Capasso:
"Frequency combs induced by phase turbulence";
Nature,
582
(2020),
360
- 364.
More information
-
M. Piccardo, M. Tamagnone, B. Schwarz, P. Chevalier, N. Rubin, Y. Wang, C. Wang, M. Connors, D. McNulty, A. Belyanin, F. Capasso:
"Radio frequency transmitter based on a laser frequency comb";
PNAS - Proceedings of the National Academy of Sciences of the United States of America,
116
(2019),
19;
9181
- 9185.
More information
-
S. Pierunek, D. Pogany, J.L. Autran, B. Leroy:
"Study of hot carrier degradation in DRAM cells combining random telegraph signal and charge pumping measurements";
Journal of Non-Crystalline Solids,
245
(1999),
59
- 66.
-
T. Plecenik, M. Gregor, M. Prascak, R. Micunek, M. Grajcar, A. Lugstein, E. Bertagnolli, M. Zahoran, T. Roch, P. Kus, A. Plecenik:
"Superconducting MgB2 weak links and superconducting quantum interference devices prepared by AFM nanolithography";
Physica C: Superconductivity and its Applications,
468
(2008),
789
- 792.
-
G. Ploner, H. Hirner, T. Maier, G. Strasser, J. Smoliner, E. Gornik:
"A novel device layout for tunneling spectroscopy...";
Applied Physics Letters,
74
(1999),
1758.
-
G. Ploner, H. Hirner, T. Maier, G. Strasser, J. Smoliner, E. Gornik:
"A novel device layout for tunneling spectroscopy of low-dimensioal electron systems";
Physica E: Low-dimensional Systems and Nanostructures,
6
(2000),
343
- 347.
-
G. Ploner, J. Smoliner, G. Strasser, M. Hauser, E. Gornik:
"Energy levels of quantum wires determined from magnetophonon resonance experiments";
Physical Review B,
57
(1998),
3966.
-
G. Ploner, J. Smoliner, G. Strasser, E. Gornik:
"Temperature dependent Magnetotransport Properties for Systems of few Quantum Wires";
Physica B: Condensed Matter,
227
(1996),
24.
-
G. Ploner, J. Smoliner, G. Strasser, E. Gornik:
"Transport characterization of quantum wires by magnetophonon and magnetic depopulation experiments";
Superlattices and Microstructures,
22
(1997),
249.
-
I. Pobelov, M. Mohos, K. Yoshida, V. Kolivoska, A. Avdic, A. Lugstein, E. Bertagnolli, K. Leonhardt, G. Denuault, B. Gollas, T. Wandlowski:
"Electrochemical current-sensing atomic force microscopy in conductive solutions";
Nanotechnology,
24
(2013),
1
- 10.
-
A. Podgaynaya, D. Pogany, E. Gornik, M. Stecher:
"Enhancement of the Electrical Safe Operating Area of Integrated DMOS Transistors With Respect to High-Engergy Short Duration Pulses";
IEEE Transactions on Electron Devices,
57
(2010),
11;
3044
- 3049.
-
A. Podgaynaya, R. Rudolf, B. Elattari, D. Pogany, E. Gornik, M. Stecher, G. Strasser:
"Single pulse energy capability and failure modes of n- and p-channel LDMOS with thick copper metallization";
Microelectronics Reliability,
50
(2010),
1347
- 1351.
-
A. Podgaynaya, R. Rudolf, D. Pogany, E. Gornik, M. Stecher:
"Experimental and Theoretical Analysis of the Electrical SOA of Rugged p-Channel LDMOS";
IEEE Electron Device Letters,
31
(2010),
12;
1440
- 1442.
-
D. Pogany, S. Bychikhin, M. Denison, P. Rodin, N. Jensen, G. Groos, M. Stecher, E. Gornik:
"Thermally-driven motion of current filaments in ESD protection devices";
Solid-State Electronics,
49
(2005),
421
- 429.
-
D. Pogany, S. Bychikhin, C. Fürböck, M. Litzenberger, E. Gornik, G. Groos, K. Esmark, M. Stecher:
"Quantitative Internal Thermal Energy Mapping of Semiconductor Devices Under Short Current Stress Using Backside Laser Interferometry";
IEEE Transactions on Electron Devices,
49
(2002),
11;
2070
- 2078.
-
D. Pogany, S. Bychikhin, M. Heer, W. Mamanee, E. Gornik:
"Application of transient interferometric mapping method for ESD and latch-up analysis";
Microelectronics Reliability,
51
(2011),
1592
- 1596.
-
D. Pogany, S. Bychikhin, J. Kuzmik, V. Dubec, N. Jensen, M. Denison, G. Groos, M. Stecher, E. Gornik:
"Thermal Distribution During Destructive Pulses in ESD Protection Devices Using a Single-Shot Two-Dimensional Interferometric Method";
IEEE Transactions on Device and Materials Reliability,
3
(2003),
4;
197
- 201.
-
D. Pogany, S. Bychikhin, M. Litzenberger, E. Gornik, G. Groos, M. Stecher:
"Extraction of spatio-temporal distribution of power dissipation in semiconductor devices using nanosecond interferometric mapping technique";
Applied Physics Letters,
81
(2002),
2881
- 2883.
-
D. Pogany, J.A. Chroboczek, G. Ghibaudo:
"Random telegraph signal noise mechanisms in reverse base current of hot carrier degraded submicron bipolar transistors: Effects of carrier trapping du";
Journal of Applied Physics,
89
(2001),
4049.
-
D. Pogany, V. Dubec, S. Bychikhin, C. Fürböck, M. Litzenberger, G. Groos, M. Stecher, E. Gornik:
"Single-shot thermal energy mapping of semiconductor devices with the nanosecond resolution using holographic interferometry";
IEEE Electron Device Letters,
23
(2002),
606
- 608.
-
D. Pogany, V. Dubec, S. Bychikhin, C. Fürböck, M. Litzenberger, S. Naumov, G. Groos, M. Stecher, E. Gornik:
"Single-Shot Nanosecond Thermal Imaging of Semiconductor Devices Using Absorption Measurements";
IEEE Transactions on Device and Materials Reliability,
3
(2003),
3;
85
- 88.
-
D. Pogany, K. Esmark, M. Litzenberger, C. Fürböck, H. Gossner, E. Gornik:
"Bulk and surface degratation mode in 0.35um technology gg-nMOS ESD protection devices";
Microelectronics and Reliability,
40
(2000),
1467
- 1472.
-
D. Pogany, E. Gornik, M. Stecher, W. Werner:
"Random telegraph noise and leakage current in smart power technology DMOS devices";
Microelectronics and Reliability,
40
(2000),
1887
- 1890.
-
D. Pogany, G. Guillot:
"Random telegraph signal noise instabilities in lattice-mismatched InGaAs/InP photodiodes";
Microelectronic Reliability,
39
(1999),
341
- 345.
-
D. Pogany, D. Johnsson, S. Bychikhin, K. Esmark, P. Rodin, M. Stecher, E. Gornik, H. Gossner:
"Measuring Holding Voltage Related to Homogeneous Current Flow in Wide ESD Protection Structures Using Multilevel TLP";
IEEE Transactions on Electron Devices,
58
(2011),
2;
411
- 418.
-
D. Pogany, J. Kuzmik, J. Darmo, M. Litzenberger, S. Bychikhin, K. Unterrainer, E. Gornik, Z. Mozolova, S. Hascik, T. Lalinsky:
"Electrical field mapping in InGaP HEMTs and GaAs teraherz emitters using backside infrared OBIC technique";
Microelectronics Reliability,
42
(2002),
1673
- 1677.
-
D. Pogany, T. Lalinsky, N. Seliger, E. Burian, E. Gornik:
"Thermal Simulation and Characterization of GaAs Micromachine Power Sensor Microsystems";
Sensors and Actuators A: Physical,
68
(1998),
372.
-
D. Pogany, N. Seliger, E. Gornik, M. Stoisiek, T. Lalinsky:
"Analysis of the temperature evolution from time resolved thermo-optic..............";
Journal of Applied Physics,
84(8)
(1998),
4495
- 4501.
-
D. Pogany, N. Seliger, T. Lalinsky, J. Kuzmik, P. Habas, P. Hrkut, E. Gornik:
"Study of Thermal Effects in GaAs Micromachined Power Sensor Microsystems by an Optical Interferometer Technique";
Microelectronics Journal,
29
(1998),
191
- 198.
More information
-
D. Pogany, N. Seliger, M. Litzenberger, H. Gossner, M. Stecher, T. Müller-Lynch, W. Werner, E. Gornik:
"Damage analysis in smart-power technoloy electrostatic discharge (ESD) protection devices";
Microelectronics and Reliability,
39
(1999),
1143
- 1148.
-
G. Pozzovivo, J. Kuzmik, C. Giesen, M. Heuken, J. Liday, G. Strasser, D. Pogany:
"Low resistance ohmic contacts annealed at 600 °C on a InAlN/GaN heterostructure with SiCl4-reactive ion etching surface treatment";
Physica Status Solidi (c),
6
(2009),
52;
999
- 1002.
-
G. Pozzovivo, J. Kuzmik, S. Golka, K. Cico, K. Fröhlich, J. Carlin, M. Gonschorek, N. Grandjean, W. Schrenk, G. Strasser, D. Pogany:
"Influence of GaN capping on performance of InAlN/AlN/GaN MOS-HEMT with Al2O3 gate insulation grown by CVD";
Physica Status Solidi (c),
5
(2008),
6;
1956
- 1958.
-
G. Pozzovivo, J. Kuzmik, S. Golka, W. Schrenk, G. Strasser, D. Pogany, K. Cico, M. Tapajna, K. Fröhlich, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean:
"Gate insulation and drain current saturation mechanism in InAlN/GaN metal-oxide-semiconductor high-electron-mobility transistors";
Applied Physics Letters,
91
(2007),
0435091
- 0435093.
-
L. Prochaska, Xin. Li, D. MacFarland, A. M. Andrews, M. Bonta, E. Bianco, S. Yazdi, W. Schrenk, H. Detz, A. Limbeck, Q. Si, E. Ringe, G. Strasser, J. Kono, S. Paschen:
"Singular charge fluctuations at a magnetic quantum critical point";
Condensed Matter Strongly Correlated Electrons,
7 Aug 2018
(2019),
1808.02296v1;
1
- 15.
-
L. Prochaska, Xin. Li, D. MacFarland, A. M. Andrews, M. Bonta, E. Bianco, S. Yazdi, W. Schrenk, H. Detz, A. Limbeck, Q. Si, E. Ringe, G. Strasser, J. Kono, S. Paschen:
"Singular charge fluctuations at a magnetic quantum critical point";
Science,
367
(2020),
6475;
285
- 288.
-
S. Prucnal, M. Glaser, A. Lugstein, E. Bertagnolli, M. Stöger-Pollach, S. Zhou, M. Helm, D. Reichel, L. Rebohle, M. Turek, J. Zuk, W. Skorupa:
"III-V semiconductor nanocrystal formation in silicon nanowires via liquid-phase epitaxy";
Nano Research,
11/2014
(2014),
1
- 8.
-
J.L. Pura, A. Magdaleno, D. Munoz-Segovia, M. Glaser, A. Lugstein, J. Jimenez:
"Electromagnetic enhancement effect on the atomically abrupt heterojunction of Si/InAs heterostructured nanowires";
Journal of Applied Physics,
125
(2019),
064303;
064303-1
- 064303-7.
More information
-
G. Rainer, J. Smoliner, E. Gornik, G. Böhm, G. Weimann:
"Tunneling and nonparabolicity effects in in-plane magnetic fields";
Physical Review B,
51
(1995),
17642.
-
D. Rakoczy, R. Heer, G. Strasser, J. Smoliner:
"High-energy ballistic transport in hertero- and nano-structures";
Physica E: Low-dimensional Systems and Nanostructures,
16
(2003),
129
- 136.
-
D. Rakoczy, J. Smoliner, R. Heer, G. Strasser:
"Ballistic Transport through GaAs-AlGaAs Superlattices in Transverse Magnetic Fields";
Journal of Applied Physics,
88
(2000),
3495.
-
D. Rakoczy, G. Strasser, C. Pacher, J. Smoliner:
"Ballistic electron microscopy on doped AlAs barriers in the regime of the Mott transition";
Semiconductor Science and Technology,
19
(2004),
8
- 10.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Ballistic Electron Emission Microscopy for Local Measurements of Barrier Heights on InAs Self-assembled Quantum Dots on GaAs";
Physica B: Condensed Matter,
314
(2002),
81.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Ballistic electron emission microscopy of "on-surface" self assembled InAs dots and wetting layers";
Journal of Vacuum Science & Technology A,
20
(2002),
373.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Cross Sectional Ballistic Electron Emission Microscopy for Schottky Barrier Height Profiling on Heterostructures";
Journal of Applied Physics,
45
(2006),
3B;
2204
- 2207.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Cross-sectional ballistic electron emission microscopy for Schottky barrier height profiling on heterostructures";
Applied Physics Letters,
86
(2005),
2021121
- 2021123.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Imaging impurities in AlAs/GaAs single-barrier structures in the regime of the Mott transition";
Physical Review B,
68
(2003),
0733041
- 0733044.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"L-Valley Electron Transport in GaAs-AlAs Double Barrier Resonant Tunneling Structures Studied by Ballistic Electron Emission Microscopy";
Physical Review B,
66
(2002),
033309.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Measuring the Energetic Distribution of Ballistic Electrons after their Refraction at an Au-GaAs Interface";
Applied Physics Letters,
81
(2002),
4964
- 4966.
-
R. Ramaswamy, K. Wang, A. Stier, G. Strasser, A.G. Markelz, A. Sergeev, V. Mitin, A. Muraviev, M. Shur, R. Gaska:
"2DEG GaN hot electron microbolometers and quantum cascade lasers for THz heterodyne sensing";
SPIE Digital Library,
8031
(2011).
-
A. Ranft, J. Kurz, K. Becker, H. Dodt, W. Zieglgänsberger, G. Rammes, E. Kochs, M. Eder:
"Nitrous oxide (N2O) pre- and postsynaptically attenuates NMDA receptor-mediated neurotransmission in the amygdala";
Neuropharmacology,
52
(2007),
716
- 723.
-
C. Rauch, G. Strasser, E. Gornik:
"Current Spectroscopy of Superlattice Bandstructure and Transport";
Microelectronic Engineering,
47
(1999),
59
- 63.
-
C. Rauch, G. Strasser, M. Kast, E. Gornik:
"Mean Free Path of Ballistic Electrons in GaAs/AlGaAs superlattices";
Superlattices and Microstructures,
25 (1/2)
(1999),
45.
-
C. Rauch, G. Strasser, K. Unterrainer, w. boxleitner, E. Gornik, K. Kempa:
"Ballistic Electron Spectroscopy of Vertical Biases Superlattices";
Physica,
E 2
(1998),
282.
-
C. Rauch, G. Strasser, K. Unterrainer, B. Brill, E. Gornik:
"Ballistic Electron Spectroscopy of Vertical Superlattice Minibands";
Applied Physics Letters,
70
(1997),
649.
-
C. Rauch, G. Strasser, K. Unterrainer, L. Hvozdara, w. boxleitner, E. Gornik, B. Brill, U. Meirav:
"Hot electron spectroscopy of undoped GaAs/GaAlAs superlattices";
Superlattices and Microstructures,
22
(1997),
143.
-
C. Rauch, G. Strasser, K. Unterrainer, w. boxleitner, E. Gornik:
"Quenching of miniband transport in based undoped superlattices";
Physica Status Solidi B - Basic Solid State Physics,
204
(1997),
393.
-
C. Rauch, G. Strasser, K. Unterrainer, A. Wacker, E. Gornik:
"Scattering and Bloch oscillation in semiconductor superlattices";
Physica B: Condensed Matter,
272
(1999),
175
- 179.
-
C. Rauch, G. Strasser, K. Unterrainer, w. boxleitner, A. Wacker, E. Gornik:
"Transition between coherent and incoherent electron transport in GaAs/AlGaAs superlattices";
Physical Review Letters,
81
(1998),
3495.
-
L. Rebohle, F. F. Schrey, S. Hofer, G. Strasser, K. Unterrainer:
"Energy level engineering in InAs quantum dot nanostructures";
Applied Physics Letters,
81
(2002),
2097.
-
L. Rebohle, F. F. Schrey, S. Hofer, G. Strasser, K. Unterrainer:
"Energy level engineering in InAs quantum dot stacks embedded in AlAs/GaAs superlattices";
Physica E: Low-dimensional Systems and Nanostructures,
17
(2003),
42
- 45.
-
L. Rebohle, R. Wutzler, S. Prucnal, R. Hübner, Y. Georgiev, A. Erbe, R. Böttger, M. Glaser, A. Lugstein, M. Helm, W. Skorupa:
"Local Formation of InAs Nanocrystals in Si by Masked Ion Implantation and Flash Lamp Annealing";
Physica Status Solidi (c),
14
(2017),
1700188;
1
- 5.
-
S. Reggiani, E. Gnani, M. Rudan, G. Baccarani, S. Bychikhin, J. Kuzmik, D. Pogany, E. Gornik, M. Denison, N. Jensen, G. Groos, M. Stecher:
"A New Numerical and Experimental Analysis Tool for ESD Devices by Means of the Transient Interferometric Technique";
IEEE Electron Device Letters,
26
(2005),
12;
916
- 918.
-
M. Reiche, M. Kittler, E. Pippel, H. Kosina, A. Lugstein, H. Uebensee:
"Electronic Properties of Dislocations";
Solid State Phenomena,
242
(2016),
141
- 146.
More information
-
P. Reininger, S. Kalchmair, R. Gansch, A. M. Andrews, H. Detz, T. Zederbauer, S. Ahn, W. Schrenk, G. Strasser:
"Optimized Photonic Crystal Design for Quantum Well Infrared Photodetectors";
Proceedings of SPIE,
8425
(2012),
842501
- 842504.
-
P. Reininger, B. Schwarz, H. Detz, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, O. Baumgartner, H. Kosina, G. Strasser:
"Diagonal-Transition Quantum Cascade Detector";
Applied Physics Letters,
105
(2014),
091108;
1
- 4.
More information
-
P. Reininger, B. Schwarz, R. Gansch, H. Detz, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Quantum cascade detector utilizing the diagonal-transition scheme for high quality cavities";
Optics Express,
23
(2015),
5;
6283
- 6291.
More information
-
P. Reininger, B. Schwarz, A. Harrer, T. Zederbauer, H. Detz, A. M. Andrews, R. Gansch, W. Schrenk, G. Strasser:
"Photonic crystal slab quantum cascade detector";
Applied Physics Letters,
103
(2013),
2411031
- 2411034.
-
P. Reininger, T. Zederbauer, B. Schwarz, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser:
"InAs/AlAsSb based quantum cascade detector";
Applied Physics Letters,
107
(2015),
081107;
081107-1
- 081107-3.
More information
-
J. Rhayem, B. Besbes, R. Blecic, S. Bychikhin, G. Haberfehlner, D. Pogany, B. Desoete, R. Gillon, A. Wieers, M. Tack:
"Electro-thermal characterization and simulation of integrated multi-trenched XtreMOSTM power devices";
Microelectronics Journal,
43
(2012),
618
- 623.
-
M. Rigato, C. Fleury, M. Heer, M. Capriotti, W. Simbürger, D. Pogany:
"ESD characterization of mulit-finger RF nMOSFET transistors by TLP and transient interferometric mapping technique";
Microelectronics Reliability,
55
(2015),
9-10;
1471
- 1475.
-
M. Rigato, C. Fleury, B. Schwarz, M. Mergens, S. Bychikhin, W. Simbürger, D. Pogany:
"Analysis of ESD Beheviour of Stacked nMOSFET RF Switches in Bulk Technology";
IEEE Transactions on Electron Devices,
65
(2018),
3;
829
- 837.
More information
-
V. Rinnerbauer, E. Lausecker, F. Schäffler, P. Reininger, G. Strasser, R. Geil, J. Joanopoulos, M. Soljacic, I. Celanovic:
"Nanoimprinted superlattice metallic photonic crystal as ultraselective solar absorber";
Optica,
2
(2015),
8;
743
- 746.
-
D. Ristanic, B. Schwarz, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Monolithically integrated mid-infrared sensor using narrow mode operation and temperature feedback";
Applied Physics Letters,
106
(2015),
041101;
041101-1
- 041101-4.
More information
-
V Ritter, J Genser, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Silicene Passivation by Few-Layer Graphene";
ACS Applied Materials & Interfaces,
11
(2019),
13;
12745
- 12751.
More information
-
F. Rizzi, G. Scamarcio, G. Strasser:
"Three-terminal mid-IR tunable emitters based on Wannier-Stark ladder transitions in semiconductor superlattices";
Semiconductor Science and Technology,
19
(2004),
87
- 88.
-
T. Roch, A. M. Andrews, G. Fasching, A. Benz, W. Schrenk, K. Unterrainer, G. Strasser:
"High-quality MBE growth of AlxGa1-xAs-based THz quantum cascade lasers";
Central European Journal of Physics,
5
(2007),
244
- 251.
-
T. Roch, C. Pflügl, A. M. Andrews, W. Schrenk, G. Strasser:
"X-ray investigation of quantum well intermixing after postgrowth rapid thermal processing";
Journal of Physics D: Applied Physics,
38
(2005),
132
- 136.
-
P. Rödiger, G. Hochleitner, E. Bertagnolli, H. D. Wanzenböck, W. Bühler:
"Focused electron beam induced etching of silicon using chlorine";
Nanotechnology,
21
(2010),
1
- 10.
-
P. Rödiger, M. Mijic, C. Zeiner, A. Lugstein, H. D. Wanzenböck, E. Bertagnolli:
"Local, direct-write, damage-free thinning of germanium nanowires";
Journal of Vacuum Science & Technology B,
29
(2011),
31
- 36.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"Crystallinity-retaining removal of germanium by direct-write focused electron beam induced etching";
Journal of Vacuum Science & Technology B,
29
(2011),
0418011
- 0418019.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"Evaluation of chamber contamination in a scanning electron microscope";
Journal of Vacuum Science & Technology B,
27
(2009),
6;
2711
- 2717.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli, W. Bühler:
"Focused electron beam induced etching of silicon by chlorine gas: Negative effects of residual gas contamination on the etching process";
Journal of Applied Physics,
108
(2010),
1243161
- 1243168.
-
P. Rödiger, H. D. Wanzenböck, S. Waid, G. Hochleitner, E. Bertagnolli:
"Focused-ion-beam-inflicted surface amorphization and gallium implantation-new insights and removal by focused-electron-beam-induced etching";
Nanotechnology,
22
(2011),
1
- 10.
-
Z. Rong, F. Gao, W. Weber, G. Hobler:
"Monte Carlo simulations of defect recovery within a 10 keV collision cascade in 3C-SiC";
Journal of Applied Physics,
102
(2007),
1035081
- 1035087.
-
S. Rossi, M. Alomari, Y. Zhang, S. Bychikhin, D. Pogany, J. Weaver, E. Kohn:
"Thermal analysis of submicron nanocrystalline diamond films";
Diamond and Related Materials,
40
(2013),
69
- 74.
-
V. Rosskopf, P. Auer, E. Gornik, R Strenz, G. Abstreiter, G. Böhm, G. Weimann:
"Far infrared-study of shallow etched quantum wires on high mobility GaAs/AlGaAs heterostructures and quantum-wells";
Solid-State Electronics,
40
(1996),
333.
-
M. Rothbauer, V. Charwat, B. Bachmann, D. Sticker, R. Novak, H. D. Wanzenböck, R. Mathies, P. Ertl:
"Monitoring transient cell-to-cell interactions in a multi-layered and multi-functional allergy-on-a-chip system";
Lab on a Chip,
19
(2019),
11;
1916
- 1921.
-
M. Rothbauer, G. Höll, C. Eilenberger, S. Kratz, Farooq, P. Schuller, I. Olmos Calvo, R. Byrne, B Meyer, B. Niederreiter, S. Küpcü, F Sevelda, J. Holinka, O Hayden, S.F Tedde, D. Wartmann, R. Mathies, H. Kiener, P. Ertl:
"Monitoring tissue-level remodeling in inflammatory arthritis using a chip-based 3D light scatter bioassay";
Lab on a Chip,
20
(2020),
1461
- 1471.
-
M. Rothbauer, P. Schuller, R. Afkhami, H. D. Wanzenböck, P. Ertl, H Zirath:
"Mikrofluidisches Microarray für die Einzelzellanalyse";
Elektrotechnik und Informationstechnik,
1
(2020),
1
- 5.
-
I. Sabdyusheva Litschauer, K. Becker, S. Saghafi, S. Ballke, C. Bollwein, M. Foroughipour, J. Gaugeler, S. Foroughpour, V. Schavelova, V. Laszlo, B. Döme, C. Brostjan, W. Weichert, H. Dodt:
"3D histopathology of human tumours by fast clearing and ultramicroscopy";
Scientific Reports,
10
(2020),
17619;
1
- 16.
-
S. Saghafi:
"Light Sheet Ulrtramicroscopy";
Imaging & Microscopy,
15
(2013),
22
- 23.
-
S. Saghafi, K. Becker, C. Hahn, H. Dodt:
"3D-ultramicroscopy utilizing aspheric optics";
Journal of Biophotonics,
1
(2013),
1
- 9.
-
S. Saghafi, K. Becker, C. Hahn, H. Dodt:
"Recent development in light Ultramicroscopy using aspherical optical elements";
Proceedings of SPIE,
8550
(2012),
1.
-
S. Saghafi, K. Becker, C. Hahn, M. Pende, N. Jährling, I. Sabdyusheva Litschauer, S. Foroughpour, A. Niendorf, H. Dodt:
"Outlook on optimizing Ultramicroscopy imaging technique through optical characterization";
Microscopy Research and Technique,
1
(2016),
1
- 7.
-
S. Saghafi, K. Becker, N. Jährling, M. Richter, E. Kramer, H. Dodt:
"Image enhancement in ultramicroscopy by improved laser light sheets";
Journal of Biophotonics,
3
(2010),
1
- 10.
-
S. Saghafi, N. Haghi-Danaloo, K. Becker, S. Foroughpour, H. Dodt:
"Characterizing output beam of a multimode laser using modal analysis method";
Proceedings of SPIE,
10414
(2017),
104140A;
1
- 6.
-
S. Saghafi, N. Haghi-Danaloo, K. Becker, I. Sabdyusheva-Litschauer, M. Foroughipour, C. Hahn, M. Pende, M. Wanis, M. Bergmann, J. Stift, B. Hegedus, B. Dome, H. Dodt:
"Reshaping a multimode laser beam into a constructed Gaussian beam for generating a thin light sheet";
Journal of Biophotonics,
11
(2018),
6.
More information
-
S. Saghafi, R. Penjweini, K. Becker, K. Kratky, H. Dodt:
"Investigating the effects of laser beams (532 nm and 660 nm) in annihilation of pistachio mould fungus using spectrophotometry analysis";
Journal of the European Optical Society,
5
(2010),
1003351
- 1003358.
-
S. Schartner, M. Austerer, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Surface emission from episide-down, short distributed-feedback quantum cascade lasers";
Optics Express,
16
(2008),
11920
- 11929.
-
S. Schartner, S. Golka, M. Austerer, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser:
"Characterization of planar photonic crystals using a quantum well infrared photodetector";
Physica Status Solidi B - Basic Solid State Physics,
244
(2007),
8;
2916
- 2925.
-
S. Schartner, S. Golka, C. Pflügl, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser:
"Band structure mapping of photonic crystal intersubband detectors";
Applied Physics Letters,
89
(2006),
1511071
- 1511073.
-
S. Schartner, S. Golka, C. Pflügl, W. Schrenk, G. Strasser:
"Deeply etched waveguides structures for quantum cascade lasers";
Microelectronic Engineering,
83
(2006),
1163
- 1166.
-
S. Schartner, L. Hoffmann, E. Mujagic, G. Strasser:
"Coherence and beam shaping in quantum cascade lasers";
SPIE Newsroom,
(2009).
-
S. Schartner, S. Kalchmair, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Post-fabrication fine-tuning of photonic crystal quantum well infrared photodetectors";
Applied Physics Letters,
94
(2009),
2311171
- 2311173.
-
S. Schartner, M. Nobile, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Photocurrent response from photonic crystal defect modes";
Optics Express,
16
(2008),
7;
4797
- 4803.
-
J. Schelle, B. Wegenast-Braun, S. Fritschi, S. Kaeser, N. Jährling, D. Eicke, A. Skodras, N. Beschorner, U. Obermueller, L. Häsler, D. Wolfer, T. Mueggler, D. Shimshek, U. Neumann, H. Dodt, M. Staufenbiel, M. Jucker:
"Early Aβ Reduction Prevents Progression of Cerebral Amyloid Angiopathy";
Annals of Neurology,
86
(2019),
561
- 571.
More information
-
K. Schlueter, K. Nordlund, G. Hobler, M. Balden, F. Granberg, O Flinck, T. F. da Silva, R. Neu:
"Absence of a Crystal Direction Regime in which Sputtering Corresponds to Amorphous Material";
Physical Review Letters,
125
(2020),
225502;
225502-1
- 225502-6.
More information
-
D Schneider, C Brink, A. Schlachetzki, G. Ploner, G. Strasser, E. Gornik:
"Tuned transition from a quantum well to a quantum wire investigated by magnetophonon resonance";
Journal of Applied Physics,
95
(2004),
2509
- 2517.
-
C. Schönbauer, J. Distler, N. Jährling, M. Radolf, H. Dodt, M. Frasch, F. Schnorrer:
"Spalt mediates an evolutionarily conserved switch to fibrillar muscle fate in insects";
Nature,
479
(2011),
406
- 410.
-
C. Schöndorfer, A. Lugstein, E. Bertagnolli:
"Focused Ion Beam induced Nanodot and Nanofiber Growth";
Microelectronic Engineering,
83
(2006),
1491
- 1494.
-
C. Schöndorfer, A. Lugstein, L. Bischoff, Y.J. Hyun, P. Pongratz, E. Bertagnolli:
"FIB induced growth of antimony nanowires";
Microelectronic Engineering,
84
(2007),
1440
- 1442.
-
C. Schöndorfer, A. Lugstein, Y.J. Hyun, E. Bertagnolli, L. Bischoff, P.M. Nellen, V. Callegari, P. Pongratz:
"Focused ion beam induced synthesis of a porous antimony nanowire network";
Journal of Applied Physics,
102
(2007),
044308-1
- 044308-5.
More information
-
S. Schönhuber, N. Bachelard, B. Limbacher, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, S. Rotter, K. Unterrainer:
"All-optical adaptive control of quantum cascade random lasers";
Nature Communications,
11
(2020),
5530.
-
S. Schönhuber, M. Brandstetter, T. Hisch, C. Deutsch, M. Krall, H. Detz, G. Strasser, S. Rotter, K. Unterrainer:
"Random Lasers for Broadband Directional Emission";
Optica,
3
(2016),
1035
- 1038.
-
S. Schönhuber, M. Wenclawiak, M. A. Kainz, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, K. Unterrainer:
"Scattering strength dependence of terahertz random lasers";
Journal of Applied Physics,
125
(2019),
151611;
151611-1
- 151611-6.
More information
-
J. Schotter, O. Bethge, T. Maier, H. Brückl:
"Recognition of biomolecular interactions by plasmon resonance shifts in single- and multicomponent magnetic nanoparticles";
Applied Physics Letters,
93
(2008),
1441051
- 1441053.
-
M. Schramböck, A. M. Andrews, P. Klang, W. Schrenk, G. Hesser, F. Schäffler, G. Strasser:
"InAs/AlGaAs QDs for intersubband devices";
Superlattices and Microstructures,
44
(2008),
411
- 415.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, A. Lugstein, G. Strasser:
"Nano-patterning and growth of self-assembled quantum dots";
Microelectronics Journal,
37
(2006),
1532
- 1543.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"In-based quantum dots on AlχGa1-χAs surfaces";
Microelectronics Reliability,
84
(2007),
1443
- 1445.
-
M. Schramböck, W. Schrenk, T. Roch, A. M. Andrews, M. Austerer, G. Strasser:
"Self organized InAs quantum dots grown on patterned GaAs substrates";
Microelectronic Engineering,
83
(2006),
1573
- 1576.
-
J. Schrattenecker, R. Heer, E. Melnik, T. Maier, G. Fafilek, R. Hainberger:
"Hexaammineruthenium (II)/(III) as alternative redox-probe to Hexacyanoferrat (II)/(III) for stable impedimetric biosensing with gold electrodes";
Biosensors & Bioelectronics,
127
(2019),
25
- 30.
More information
-
W. Schrenk, S Anders, C. Pflügl, E. Gornik, G. Strasser, C. Becker, C. Sirtori:
"Improved temperature perfomance of GaAs/AlGaAs quantum cascade lasers";
Institute of Physics Conference Series,
174
(2003),
385
- 388.
-
W. Schrenk, N. Finger, S. Gianordoli, E. Gornik, G. Strasser:
"Continuous-Wave Operation of AlAs/GaAs Superlattice Quantum Cascade Lasers";
Applied Physics Letters,
77
(2000),
3328.
-
W. Schrenk, N. Finger, S. Gianordoli, L. Hvozdara, G. Strasser, E. Gornik:
"GaAs/AlGaAs distributed feedback quantum cascade lasers";
Applied Physics Letters,
76
(2000),
253
- 255.
-
W. Schrenk, N. Finger, S. Gianordoli, L. Hvozdara, G. Strasser, E. Gornik:
"Surface emitting distributed feedback quantum cascade letters";
Applied Physics Letters,
77
(2000),
2086.
-
W. Schrenk, E. Gornik, H. Page, C. Sirtori, V. Ortiz, G. Strasser:
"High performance single mode GaAs quantum cascade lasers ";
Physica E: Low-dimensional Systems and Nanostructures,
13
(2002),
840
- 843.
-
F. F. Schrey, E. Chaban, M.J. Matthews, J.W.P. Hsu:
"A microscope for imaging, spectroscopy, and lithography at the nanometer scale: Combination of a two-photon laser scanning microscope and an atomic force microscope";
Review of Scientific Instruments,
74
(2003),
3;
1211
- 1215.
-
F. F. Schrey, G. Fasching, T. Müller, G. Strasser, K. Unterrainer:
"Optically induced intraband electron transfer in self-assembled InAs quantum dots";
Physica Status Solidi (c),
1
(2004),
434
- 437.
-
F. Schrey, T. Müller, G. Fasching, S. Anders, C. Pflügl, W. Schrenk, G. Strasser, K. Unterrainer:
"Intersublevel dynamics of semiconductor nanostructures";
Physica E: Low-dimensional Systems and Nanostructures,
25
(2004),
271
- 279.
-
F. Schrey, L. Rebohle, T. Müller, G. Strasser, K. Unterrainer, D. Nguyen, N. Regnault, I. Ferreira, G. Bastard:
"Intraband transitions in quantum dot-superlattice heterostructures";
Physical Review B,
72
(2005),
155310.
-
A. Schröder, S. Harasek, M. Kupnik, M. Wiesinger, E. Gornik, E. Benes, M. Gröschl:
"A Capacitance Ultrasonic Transducer for High-Temperature Applications";
IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control,
51
(2004),
7;
895
- 906.
More information
-
P. Schroeder, M. Kast, E. Halwax, C. Edtmaier, O. Bethge, H. Brückl:
"Morphology alterations during postsynthesis oxidation of Zn nanowires";
Journal of Applied Physics,
105
(2009),
104307-1
- 104307-5.
-
P. Schröder, J. Schotter, A. Shoshi, M. Eggeling, O. Bethge, A. Hütten, H. Brückl:
"Artificial cilia of magnetically tagged polymer nanowires for biomimetic mechanosensing";
bioinspiration & biomimetics,
6
(2011),
0460071
- 0460079.
-
S. Schuler, D. Schall, D. Neumaier, L. Dobusch, O. Bethge, B. Schwarz, M. Krall, T. Müller:
"Controlled Generation of a p−n Junction in a Waveguide Integrated Graphene Photodetector";
Nano Letters,
16
(2016),
11;
7107
- 7112.
-
S. Schuler, D. Schall, D. Neumaier, B. Schwarz, K. Watanabe, T. Taniguchi, T. Müller:
"Graphene Photodetector Integrated on a Photonic Crystal Defect Waveguide";
ACS Photonics,
5
(2018),
12;
4758
- 4763.
-
P. Schuller, M. Rothbauer, C. Eilenberger, S. Kratz, G. Höll, P. Taus, M. Schinnerl, J Genser, P. Ertl, H. D. Wanzenböck:
"Optimized plasma-assisted bi-layer photoresist fabrication protocol for high resolution microfabrication of thin-film metal electrodes on porous polymer membranes";
MethodsX,
6
(2019),
1;
2606
- 2613.
More information
-
P. Schuller, M. Rothbauer, S. Kratz, G. Höll, P. Taus, M. Schinnerl, N. Bastus, O. Moriones, V. Puntes, B. Huppertz, H. D. Wanzenböck, P. Ertl:
"A Lab-on-a-Chip system with an embedded porous membrane-based impedance biosensor array for nanoparticle risk assessment on placental Bewo trophoblast cells";
Sensors and Actuators B: Chemical,
312
(2020),
127946.
-
B. Schwarz, J. Hillbrand, M Beiser, A. M. Andrews, G. Strasser, H. Detz, A. Schade, R. Weih, S. Höfling:
"Monolithic frequency comb platform based on interband cascade lasers and detectors";
Optica,
6
(2019),
7;
890
- 895.
More information
-
B. Schwarz, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, S. Kalchmair, W. Schrenk, O. Baumgartner, H. Kosina, G. Strasser:
"A bi-functional quantum cascade device for same-frequency lasing and detection";
Applied Physics Letters,
101
(2012),
1911091
- 1911094.
More information
-
B. Schwarz, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Monolithically Integrated Mid-Infrared Quantum Cascade Laser and Detector";
Sensors,
13
(2013),
2196
- 2205.
-
B. Schwarz, P. Reininger, A. Harrer, D. MacFarland, H. Detz, W. Schrenk, G. Strasser:
"The limit of quantum cascade detectors: A single period device";
Applied Physics Letters,
111
(2017),
061107;
061107-1
- 061107-4.
More information
-
B. Schwarz, P. Reininger, D. Ristanic, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Monolithically integrated mid-infrared lab-on-a-chip using plasmonics and quantum cascade structures";
Nature Communications,
5
(2014),
4085;
4085-1
- 4085-7.
-
B. Schwarz, D. Ristanic, P. Reininger, T. Zederbauer, D. MacFarland, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"High performance bi-functional quantum cascade laser and detector";
Applied Physics Letters,
107
(2015),
071104;
071104-1
- 071104-4.
More information
-
B. Schwarz, C. Wang, L. Missaggia, T. Mansuripur, P. Chevalier, M. Connors, D. McNulty, J. Cederberg, G. Strasser, F. Capasso:
"Watt-Level Continous-Wave Emission from a Bifunctional Quantum Cascade Laser/Detector";
ACS Photonics,
4 (5)
(2017),
1225
- 1231.
More information
-
C. Schwarzer, E. Mujagic, S. Ahn, A. M. Andrews, W. Schrenk, W. Charles, C. Gmachl, G. Strasser:
"Grating duty-cycle induced enhancement of substrate emission from ring cavity quantum cascade lasers";
Applied Physics Letters,
100
(2012),
1911031
- 1911033.
-
C. Schwarzer, E. Mujagic, Y. Yao, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Coherent coupling of ring cavity surface emitting quantum cascade lasers";
Applied Physics Letters,
97
(2010),
0711031
- 0711033.
-
C. Schwarzer, R. Szedlak, S. Ahn, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Linearly polarized light from substrate emitting ring cavity quantum cascade lasers";
Applied Physics Letters,
103
(2013),
0811011
- 0811013.
-
C. Schwarzer, Y. Yao, E. Mujagic, S. Ahn, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Coupling strategies for coherent operation of quantum cascade ring laser arrays";
AIP Conference Proceedings,
1399
(2011),
989
- 990.
-
M. Seifner, F. Biegger, A. Lugstein, J. Bernardi, S. Barth:
"Microwave-Assisted Ge1−xSnx Nanowire Synthesis: Precursor Species and Growth Regimes";
Chemistry of Materials,
27
(2015),
6125
- 6130.
More information
-
M. Seifner, A. Dijkstra, J. Bernardi, A. Steiger-Thirsfeld, M. Sistani, A. Lugstein, J. Haverkort, S. Barth:
"Epitaxial Ge0.81Sn0.19 Nanowires as Nanoscale Mid-Infrared Emitters";
ACS Nano,
13
(2019),
8047
- 8054.
More information
-
M. Seifner, M. Sistani, F. Porrati, G. Di Prima, P. Pertl, M. Huth, A. Lugstein, S. Barth:
"Direct Synthesis of Hyperdoped Germanium Nanowires";
ACS Nano,
12
(2018),
236
- 241.
More information
-
M. Seifner, M. Sistani, I. Zivadinovic, M. Bartmann, A. Lugstein, S. Barth:
"Drastic Changes in Material Composition and Electrical Properties of Gallium-Seeded Germanium Nanowires";
Crystal Growth & Design,
19
(2019),
2531
- 2536.
More information
-
N. Seliger, P. Habas, E. Gornik:
"A Study of Backside Laser-Probe Signals in MOSFETs";
Microelectronic Engineering,
31
(1996),
87
- 94.
More information
-
N. Seliger, P. Habas, D. Pogany, E. Gornik:
"Time-Resolved Analysis of Self-Heating in Power VDMOSFETs Using Backside Laserprobing";
Solid-State Electronics,
41
(1997),
1285
- 1292.
More information
-
N. Seliger, D. Pogany, C. Fürböck, P. Habas, E. Gornik, M. Stoisiek:
"A Laser Beam Method for Evaluation of Thermal Time Constant in Smart Power Devices";
Microelectronics Reliability,
37
(1997),
1727
- 1730.
More information
-
S. Selmo, R. Cecchini, S. Cecchi, C. Wiemer, M. Fanciulli, E. Rotunno, L. Lazzarini, M. Rigato, D. Pogany, A. Lugstein:
"Low power phase change memory switching of ultra-thin In3Sb1Te2 nanowires";
Applied Physics Letters,
109
(2016),
2131031
- 2131035.
-
S. Senkader, J. Esfandyari, G. Hobler:
"A model for oxygen precipitation in silicon including bulk stacking fault growth";
Journal of Applied Physics,
78(11)
(1995),
6469
- 6476.
-
S. Senkader, G. Hobler, Ch. Schmeiser:
"Determination of the oxide precipitate-silicon matrix interface energy by consid. the change oft precipitate morphology";
Applied Physics Letters,
69(15)
(1996),
2202
- 2204.
-
V. Sessi, M. Simon, H. Mulaosmanovic, D. Pohl, M. Loeffler, T. Mauersberger, F. Fengler, T. Mittmann, C. Richter, S. Slesazeck, T. Mikolajick, W. Weber:
"A Silicon Nanowire Ferroelectric Field-Effect Transistor";
Advanced Electronic Materials,
6
(2020),
4;
1901244-1
- 1901244-7.
More information
-
M. Shawrav, D. Belic, M. Gavagnin, S. Wachter, M. Schinnerl, H. D. Wanzenböck, E. Bertagnolli:
"Electron beam-induced CVD of nanoalloys for nanoelectronics";
Chemical Vapor Deposition,
20
(2014),
7-8-9;
251
- 257.
-
M. Shawrav, Z. Gökdeniz, H. D. Wanzenböck, P. Taus, J. Mika, S. Waid, E. Bertagnolli:
"Chlorine basedfocusedelectronbeaminducedetching:Anovelwayto patterngermanium";
Materials Science in Semiconductor Processing,
42
(2016),
170
- 173.
-
M. Shawrav, P. Taus, H. D. Wanzenböck, M. Schinnerl, M. Stöger-Pollach, S. Schwarz, A. Steiger-Thirsfeld, E. Bertagnolli:
"Highly conductive and pure gold nanostructures grown by electron beam induced deposition";
Scientific Reports,
6
(2016),
1
- 10.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, O. Bethge, M. Schinnerl, E. Bertagnolli:
"Mask-free prototyping of metal-oxidesemiconductor devices utilizing focused electron beam induced deposition";
Physica Status Solidi A,
211
(2013),
2;
375
- 381.
-
H. Shtrikman, A. Köck, S. Freisleben, C. Gmachl, E. Gornik, L. Korte:
"Adjustable surface emission from AlGaAs/GaAs laser diodes based on first-order-grating-coupled surface mode emission";
Applied Physics Letters,
69
(1996),
2312.
-
J. Silvano de Sousa, H. Detz, P. Klang, E. Gornik, G. Strasser, J. Smoliner:
"Enhanced Rashba effect in transverse magnetic fields observed on InGaAs/GaAsSb resonant tunneling diodes at temperatures up to T5180 K";
Applied Physics Letters,
99
(2011),
1521071
- 1521073.
-
J. Silvano de Sousa, H. Detz, P. Klang, E. Gornik, G. Strasser, J. Smoliner:
"Large Rashba Effect In GaAsSb/InGaAs RTDs at High Temperatures";
Journal of the Korean Physical Society,
60
(2012),
10;
1762
- 1766.
-
J. Silvano de Sousa, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser, J. Smoliner:
"Nonparabolicity effects in InGaAs/GaAsSb double barrier resonant tunneling diodes";
Journal of Applied Physics,
108
(2010),
0737071
- 0737074.
-
J. Silvano de Sousa, J. Smoliner:
"Rashba effect in type-II resonant tunneling diodes enhanced by in-plane magnetic fields";
Physical Review B,
85
(2012),
0853031
- 0853038.
-
A. Simionescu, S. Herzog, G. Hobler, R. Schork, J. Lorenz, C. Tian, G. Stingeder:
"Modeling of electronic stopping and damage accumulation during arsenic implantation in silicon";
Nuclear Instruments & Methods B,
100
(1995),
483
- 489.
-
A. Simionescu, G. Hobler, S. Bogen, L. Frey, H. Ryssel:
"Model for the electronic stopping of channeled ions in silicon around the stopping power maximum";
Nuclear Instruments & Methods B,
106
(1995),
47
- 50.
-
M. Simon, B. Liang, D. Fischer, M. Knaut, A. Tahn, T. Mikolajick, W. Weber:
"Top-down fabricated reconfigurable FET with two symmetric and high-current on-states";
IEEE Electron Device Letters,
41
(2020),
7;
1110
- 1113.
More information
-
M. Simon, R. Mizuta, Y. Fan, A. Tahn, D. Pohl, J. Trommer, S. Hofmann, T. Mikolajick, W. Weber:
"Lateral Extensions to Nanowires for Controlling Nickel Silicidation Kinetics: Improving Contact Uniformity of Nanoelectronic Devices";
ACS Applied Nano Materials,
4
(2021),
4371
- 4378.
More information
-
M. Sistani, M. Bartmann, N. Güsken, R. Oulton, H. Keshmiri, M. Luong, Z.S. Momtaz, M. den Hertog, A. Lugstein:
"Plasmon-Driven Hot Electron Transfer at Atomically Sharp Metal-Semiconductor Nanojunctions";
ACS Photonics,
7
(2020),
1642
- 1648.
More information
-
M. Sistani, M. Bartmann, N. Güsken, R. Oulton, H. Keshmiri, M. Luong, E. Robin, M. den Hertog, A. Lugstein:
"Stimulated Raman Scattering in Ge Nanowires";
The Journal of Physical Chemistry C,
124
(2020),
13872
- 13877.
More information
-
M. Sistani, M. Bartmann, N. Güsken, R. Oulton, H. Keshmiri, M. Seifner, S. Barth, N. Fukata, M. Luong, M. Hertog, A. Lugstein:
"Nanoscale aluminum plasmonic waveguide with monolithically integrated germanium detector";
Applied Physics Letters,
115
(2019),
161107.
-
M. Sistani, R Böckle, M. Bartmann, A. Lugstein, W. Weber:
"Bias-Switchable Photoconductance in a Nanoscale Ge Photodetector Operated in the Negative Differential Resistance Regime";
ACS Photonics,
8
(2021),
3469
- 3475.
More information
-
M. Sistani, R Böckle, D. Falkensteiner, M. Luong, M. den Hertog, A. Lugstein, W. Weber:
"Nanometer-Scale Ge Based Adaptable Transistors Providing Programmable Negative Differential Resistance Enabling Multi Valued Logic";
ACS Nano,
15
(2021),
18135
- 18141.
More information
-
M. Sistani, R Böckle, L. Wind, K. Eysin, X. Maeder, P. Schweizer, J. Michler, A. Lugstein, W. Weber:
"Polycrystalline Ge Nanosheets Embedded in Metal‐Semiconductor Heterostructures Enabling Wafer‐Scale 3D Integration of Ge Nanodevices with Self‐Aligned Al Contacts";
Advanced Electronic Materials,
7
(2021),
5;
2100101-1
- 2100101-9.
More information
-
M. Sistani, J. Delaforce, K. Bharadwaj, M. Luong, J. Nacenta Mendivil, N. Roch, M. den Hertog, R. Kramer, O. Buisson, A. Lugstein, C. Naud:
"Coulomb blockade in monolithic and monocrystalline Al-Ge-Al nanowire heterostructures";
Applied Physics Letters,
116
(2020),
013105;
013105-1
- 013105-5.
More information
-
M. Sistani, J. Delaforce, R. Kramer, N. Roch, M. Luong, M. den Hertog, E. Robin, J. Smoliner, J. Yao, C. Lieber, C. Naud, A. Lugstein, O. Buisson:
"Highly transparent contacts to the 1D hole gas in ultra-scaled Ge/Si core/shell nanowires";
ACS Nano,
13
(2019),
14145
- 14151.
More information
-
M. Sistani, M. Luong, M. den Hertog, E. Robin, M. Spies, B. Fernandez, J. Yao, E. Bertagnolli, A. Lugstein:
"Monolithic Axial and Radial Metal-Semiconductor Nanowire Heterostructures";
Nano Letters,
18
(2018),
12;
7692
- 7697.
-
M. Sistani, M. Seifner, M. Bartmann, J. Smoliner, A. Lugstein, S. Barth:
"Electrical characterization and examination of temperature-induced degradation of metastable Ge0.81Sn0.19 nanowires";
Nanoscale,
10
(2018),
19443
- 19449.
More information
-
M. Sistani, P. Staudinger, J.M. Greil, M. Holzbauer, H. Detz, E. Bertagnolli, A. Lugstein:
"Room-Temperature Quantum Ballistic Transport in Monolithic Ultrascaled Al−Ge−Al Nanowire Heterostructures";
Nano Letters,
17
(2017),
4556
- 4561.
-
M. Sistani, P. Staudinger, A. Lugstein:
"Polarity Control in Ge Nanowires by Electronic Surface Doping";
The Journal of Physical Chemistry C,
124
(2020),
19858
- 19863.
More information
-
O. Sklyar, A. Kueng, C. Kranz, B. Mizaikoff, A. Lugstein, E. Bertagnolli, G. Wittstock:
"Numerical Simulation of Scanning Electrochemical Microscopy Experiments with Frame-Shaped Integrated Atomic Force Microscopy-SECM Probes Using the Boundary Element Method";
Analytical Chemistry,
77
(2005),
764
- 771.
-
J. Smoliner, B. Basnar, S. Golka, E. Gornik, B. Löffler, M. Schatzmayer, H. Enichlmair:
"Mechanism of bias-dependent contrast in Scanning Capacitance Microscopy images";
Applied Physics Letters,
79
(2001),
3182.
-
J. Smoliner, W. Brezna:
"An intercepted feedback mode for light sensitive spectroscopie measurements in atomic force microscopy";
Review of Scientific Instruments,
78
(2007),
1061041
- 1061043.
-
J. Smoliner, W. Brezna, P. Klang, A. M. Andrews, G. Strasser:
"Quantitative scanning capacitance microscopy on single subsurface InAs quantum dots";
Applied Physics Letters,
92
(2008),
0921121
- 0921123.
-
J. Smoliner, W. Brezna, P. Klang, A. M. Andrews, G. Strasser:
"Room Temperature Capacitance Imaging of Single Sub-Surface InAs Quantum Dots";
IOP Journal of Physics: Conference Series,
109
(2008),
0120321
- 0120324.
-
J. Smoliner, C. Eder:
"Ballistic Electron Emission Microscopy on Au-gaAs Schottky diodes using InAs Tips";
Physical Review B,
57
(1998),
9856.
-
J. Smoliner, C. Eder:
"Ballistic electron emission microscopy using InAs tips";
Applied Physics A: Materials Science & Processing,
66
(1998),
117.
-
J. Smoliner, C. Eder, G. Böhm, G. Weimann:
"Low temperature current imaging spectroscopy on wet chemically etched quantum wires";
Applied Physics Letters,
69
(1996),
52.
-
J. Smoliner, C. Eder, G. Strasser, E. Gornik:
"Ballistic electron emission microscopy on quantum wires";
Physica Status Solidi B - Basic Solid State Physics,
204
(1997),
386.
-
J. Smoliner, C. Eder, G. Strasser, G. Böhm, G. Weimann:
"STM studies on quantum wire structures in air and liquid helium";
Superlattices and Microstructures,
20
(1996),
261.
-
J. Smoliner, R. Heer, C. Eder, G. Strasser:
"Electron refraction in Ballistic Electron Emission Microscopy studied by a superlattice energy filter";
Physical Review B,
58
(1998),
7516.
-
J. Smoliner, R. Heer, G. Ploner, G. Strasser:
"k//=0 filtering in ballistic electron transport through subsurface GaAs-AlGaAs double barrier resonant tunneling structures";
Physica E: Low-dimensional Systems and Nanostructures,
6
(2000),
339
- 342.
-
J. Smoliner, R. Heer, G. Strasser:
"Ballistic Electron Emission Microscopy on buried GaAs-AlGaAs superlattices";
Microelectronic Engineering,
47
(1999),
69
- 71.
-
J. Smoliner, R. Heer, G. Strasser:
"Biased GaAs-AlGaAs superlattices employed as energy filter for Ballistic Electron Emission Microscopy";
Surface and Interface Analysis,
27
(1999),
542.
-
J. Smoliner, R. Heer, G. Strasser:
"kII=0 filtering in resonant tunneling processes between materials of different effective electron mass";
Physical Review B,
60
(1999),
5137.
-
J. Smoliner, R. Heer, G. Strasser, C. Strahberger:
"Magnetic field effects and k//-filtering in BEEM on GaAs-AlGaAs resonant tunneling structures";
Applied Physics A: Materials Science & Processing,
72
(2001),
233.
-
J. Smoliner, H. Huber, M. Hochleitner, M. Mörtelmaier, F. Kienberger:
"Scanning microwave microscopy/spectroscopy on metal-oxidesemiconductor systems";
Journal of Applied Physics,
108
(2010),
0643151
- 0643157.
-
J. Smoliner, D. Rakoczy, M. Kast:
"Hot electron spectroscopy and microscopy";
Reports on Progress in Physics,
67
(2004),
1863
- 1914.
-
Z. Song, M. Sistani, L. Wind, D. Pohl, B. Rellinghaus, W. Weber, A. Lugstein:
"Plasmon-assisted polarization-sensitive photodetection with tunable polarity for integrated silicon photonic communication systems";
Nanotechnology,
32
(2021),
505205;
505205-1
- 505205-8.
-
P. Souza, T. Gebhard, F. Schrey, G. Strasser, K. Unterrainer, M. Pires, S. Landi, J.M. Villas-Boas, N. Studart:
"Polarization Dependence of Photocurrent in InAs/InGaAs/InP Quantum-Dot Infared Photodetectors";
ECS Transactions,
4
(2007),
345
- 352.
-
V. Spagnolo, G. Scamarcio, W. Schrenk, G. Strasser:
"Influence of the band-offset on the electronic temperature of GaAs/Al(Ga)As superlattice quantum cascade lasers";
Semiconductor Science and Technology,
19
(2004),
110
- 112.
-
W. Stadler, K. Esmark, H. Gossner, M. Streibl, M. Wendel, W. Fichtner, M. Litzenberger, D. Pogany, E. Gornik:
"Device Simulation and Backside Laser Interferometry - Powerful Tools for ESD Protection Development";
Microelectronics Reliability,
42
(2002),
1267
- 1274.
-
W. Stadler, K. Esmark, K Reynders, M Zubeidat, M. Graf, W. Wilkening, J. Willemen, N. Qu, S. Mettler, M. Etherton, D. Nuernbergk, H. Wolf, H. Gieser, W. Soppa, V. Heyn, M. Natarajan, G. Groeseneken, E. Morena, R. Stella, A. Andreini, M. Litzenberger, D. Pogany, E. Gornik, C. Foss, A. Konrad, M. Frank:
"Test Circuits for Fast and Reliable Assessment of CDM Robustness of I/O stages";
Microelectronics Reliability,
45
(2005),
2;
269
- 277.
-
P. Staudinger, M. Sistani, J.M. Greil, E. Bertagnolli, A. Lugstein:
"Ultrascaled Germanium Nanowires for Highly Sensitive Photodetection at the Quantum Ballistic Limit";
Nano Letters,
18
(2018),
8;
5 pages.
-
D. Stehr, C. Metzner, M. Helm, T. Roch, G. Strasser:
"Resonant Impurity Bands in Semiconductor Superlattices";
Physical Review Letters,
95
(2005),
2574011
- 2574014.
-
D. Stehr, M. Wagner, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser:
"Two-color pump-probe studies of intraminiband relaxation in doped GaAs/AlGaAs superlattice";
Applied Physics Letters,
92
(2008),
0511041
- 0511043.
-
S. Steinhauer, A. Köck, C. Gspan, W. Grogger, L.K.J. Vandamme, D. Pogany:
"Low-frequency noise characterization of single CuO nanowire gas sensor devices";
Applied Physics Letters,
107
(2015),
1231121
- 1231125.
-
W. Steinhögl, G. Schindler, G. Steinlesberger:
"Size-dependet resistivity of metallic wires in the mesoscopic range";
Physical Review B,
66
(2002),
0754141
- 0754144.
-
W. Steinhögl, G. Schindler, G. Steinlesberger, C.M. Engelhardt:
"Size-Dependent Resistivity of Metallic Wires in the Mesoscopic Range";
Physical Review B,
66
(2002),
075414-1
- 075414-4.
-
D. Sticker, M. Rothbauer, V. Charwat, J. Steinkühler, O. Bethge, E. Bertagnolli, H. D. Wanzenböck, P. Ertl:
"Zirconium dioxide nanolayer passivated impedimetric sensors forcell-based assays";
Sensors and Actuators B: Chemical,
213
(2015),
35
- 44.
-
A. Stier, C. Ellis, J. Kwon, H. Xing, H. Zhang, D. Eason, G. Strasser, T. Morimoto, H. Aoki, H. Zeng, B. McCombe, J. Cerne:
"Terahertz Dynamics of a Topologically Protected State: Quantum Hall Effect Plateaus near the Cyclotron Resonance of a Two-Dimensional Electron Gas";
Physical Review Letters,
115
(2015),
2474011
- 2474015.
-
A. Stier, H. Zhang, C. Ellis, D. Eason, G. Strasser, B. McCombe, J. Cerne:
"THz Quantum Hall Conductivity Studies in a GaAs Heterojunction";
AIP Conference Proceedings,
1399
(2011),
627
- 628.
-
D. Stifter, W. Heiß, A. Bonanni, G. Prechtl, M. Schmid, K. Hingerl, H. Seyringer, H. Sitter, J. Liu, E. Gornik, L. Toth, A. Barna:
"Molecular Beam Epitaxy of ZnCdSe/ZnSe Wires on Patterned Substrates";
Journal of Crystal Growth,
184-185
(1998),
347
- 351.
-
C. Strahberger, J. Smoliner, R. Heer, G. Strasser:
"Enhanced k//filtering effects in ballistic electron emission experiments";
Physical Review B,
63
(2001),
205306.
-
G. Strasser:
"Monomodige GaAs/AlAs Quantumkaskadenlaser im Dauerstrichbetrieb-Coninuous wave operation of single mode GaAs/AlAs quantum cascade lasers";
Laser und Optoelektronik,
33
(2001),
30.
-
G. Strasser, S. Gianordoli, L. Hvozdara, W. Schrenk, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs superlattice quantum cascade lasers at lambda ~ 13 µm";
Applied Physics Letters,
75
(1999),
1345.
-
G. Strasser, S. Gianordoli, L. Hvozdara, W. Schrenk, E. Gornik:
"Intersubband and interminiband GaAs/AlGaAs quantum fountain unipolar lasers";
Physica E: Low-dimensional Systems and Nanostructures,
7
(2000),
1
- 7.
-
G. Strasser, S. Gianordoli, W. Schrenk, E. Gornik, A. Mücklich, M. Helm:
"MBE-grown GaAs/AlGaAs and strained InGaAs/AlGaAs/GaAs quantum cascade lasers";
Journal of Crystal Growth,
227-228
(2001),
197.
-
G. Strasser, L. Hvozdara, S. Gianordoli, K. Unterrainer, E. Gornik, P. Kruck, M. Helm:
"GaAs/AlGaAs Quantum Cascade Intersubband and Interminiband Emitter";
Journal of Crystal Growth,
201/202
(1999),
919
- 922.
-
G. Strasser, L. Hvozdara, S. Gianordoli, W. Schrenk, K. Unterrainer, E. Gornik, M. Helm:
"Intersubband and interminiband GaAs/AlGaAs quantum cascade lasers at 10 mm";
Physica E: Low-dimensional Systems and Nanostructures,
7
(2000),
709
- 712.
-
G. Strasser, P. Kruck, M. Helm, J.N. Heyman, L. Hvozdara, E. Gornik:
"Mid-infrared electroluminescence in GaAs/AlGaAs structures";
Applied Physics Letters,
71
(1997),
2892.
-
G. Strasser, G. Ploner, C. Rauch, E. Gornik:
"Transport Spectroscopy of Quantum Wires and Superlattices";
Thin Solid Films,
367
(2000),
267
- 276.
-
G. Strasser, C. Rauch, E. Gornik:
"Current Transport in Multiple Superlattice Structures";
Physica,
E 2
(1998),
515.
-
G. Strasser, C. Rauch, K. Unterrainer, W. Boxleitner, E. Gornik:
"Ballistic and dissipative electron transport in semiconductor superlattices";
Physica,
E 3
(1998),
152.
-
G. Strasser, W. Schrenk, S. Anders, E. Gornik:
"Single mode GaAs Quantum Cascade Laser";
Microelectronic Engineering,
63
(2002),
179.
-
E. Strupiechonski, G. Xu, M. Brekenfeld, Y. Todorov, N. Isac, A. M. Andrews, P. Klang, C. Sirtori, G. Strasser, A. Degiron, R. Colombelli:
"Sub-diffraction-limit semiconductor resonators operating on the fundamental magnetic resonance";
Applied Physics Letters,
100
(2012),
1311131
- 1311134.
-
M. Swinkels, A. Campo, D. Vakulov, W. Kim, L. Gagliano, S. Steinvall, H. Detz, M. De Luca, A. Lugstein, E. Bakkers, F. Morral, I. Zardo:
"Measuring the Optical Absorption of Single Nanowires";
Physical Review Applied,
14
(2020),
024045;
024045-1
- 024045-10.
More information
-
R. Szedlak, A. Harrer, M. Holzbauer, B. Schwarz, J.P. Waclawek, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Remote Sensing with Commutable Monolithic Laser and Detector";
ACS Photonics,
3
(2016),
1794
- 1798.
More information
-
R. Szedlak, J. Hayden, P. Martin-Mateos, M. Holzbauer, A. Harrer, B. Schwarz, B. Hinkov, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, P. Acedo, B. Lendl, G. Strasser:
"Surface emitting ring quantum cascade lasers for chemical sensing";
Optical Engineering,
57
(2017),
011005-1
- 011005-5.
More information
-
R. Szedlak, T. Hisch, B. Schwarz, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, S. Rotter, G. Strasser:
"Ring quantum cascade lasers with twisted wavefronts";
Scientific Reports,
8
(2018),
7988.
-
R. Szedlak, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, C. Schwarzer, W. Schrenk, G. Strasser:
"The influence of whispering gallery modes on the far field of ring lasers";
Scientific Reports,
5
(2015),
16668;
1
- 8.
-
R. Szedlak, M. Holzbauer, P. Reininger, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring quantum cascade lasers with grating phase shifts and a light collimating dielectric metamaterial for enhanced infrared spectroscopy";
Vibrational Spectroscopy,
84
(2016),
101
- 105.
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Grating-based far field modifications of ring quantum cascade lasers";
Optics Express,
22
(2014),
13;
15829
- 15836.
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"On-chip focusing in the mid-infrared: Demonstrated with ring quantum cascade lasers";
Applied Physics Letters,
104
(2014),
1511051
- 1511054.
-
V Tamosiunas, Z. Kancleris, M. Dagys, R. Simniskis, M. Tamosiuniene, G. Valusis, G. Strasser, K. Unterrainer:
"Finite-Difference Time-Domain Simulation of Mid- and Far-Infrared Quantum Cascade Lasers";
Acta Physica Polonica A,
107
(2005),
179
- 183.
-
V. Tamosiunas, R. Zobl, G. Fasching, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, K. West, L. Pfeiffer, F. Capasso:
"Magnetic field effects in terahertz quantum-cascade lasers";
Semiconductor Science and Technology,
19
(2004),
348
- 350.
-
V. Tamosiunas, R. Zobl, J. Ulrich, K. Unterrainer:
"Terahertz quantum cascade lasers in a magnetic field";
Applied Physics Letters,
83
(2003),
19;
3873
- 3875.
-
M. Tapajna, K. Cico, J. Kuzmik, D. Pogany, G. Pozzovivo, G. Strasser, J. Carlin, N. Grandjean, K. Fröhlich:
"Thermally induced voltage shift in capacitance-voltage characteristics and its relation to oxide/semiconductor interface states in Ni/Al2O3/InAlN/GaN heterostructures";
Semiconductor Science and Technology,
24
(2009),
0350081
- 0350085.
-
M. Tapajna, J. Kuzmik, K. Cico, D. Pogany, G. Pozzovivo, G. Strasser, S. Abermann, E. Bertagnolli, J. Carlin, N. Grandjean, K. Fröhlich:
"Interface States and Trapping Effects in Al2O3 and ZrO2/InAlN/AlN/GaN Metal-Oxide-Semiconductor Heterostructures";
Japanese Journal of Applied Physics,
48
(2009),
0902011
- 0902013.
-
P. Taus, A. Prinz, H. D. Wanzenböck, P. Schuller, A. Tsenov, M. Schinnerl, M. Shawrav, M. Haslinger, M. Mühlberger:
"Mastering of NIL Stamps with Undercut T-Shaped Features from Single Layer to Multilayer Stamps";
Nanomaterials,
11
(2021),
956;
1
- 11.
More information
-
Y. Todorov, A. M. Andrews, R. Colombelli, S. De Liberato, C. Ciuti, P. Klang, G. Strasser, C. Sirtori:
"Ultrastrong Light-Matter Coupling Regime with Polariton Dots";
Physical Review Letters,
105
(2010),
1964021
- 1964024.
-
Y. Todorov, A. M. Andrews, I. Sagnes, R. Colombelli, P. Klang, G. Strasser, C. Sirtori:
"Strong Light-Matter Coupling in Subwavelength Metal-Dielectric Microcavities at Terahertz Frequencies";
Physical Review Letters,
102
(2009),
1864021
- 1864024.
-
Y. Todorov, L. Tosetto, A. Delteil, A. Vasanelli, C. Sirtori, A. M. Andrews, G. Strasser:
"Polaritonic spectroscopy of intersubband transitions";
Physical Review B,
86
(2012),
1
- 14.
-
Y. Todorov, L. Tosetto, J. Teissier, A. M. Andrews, P. Klang, R. Colombelli, I. Sagnes, G. Strasser, C. Sirtori:
"Optical properties of metal-dielectric-metal microcavities in the THz frequency range";
Optics Express,
18
(2010),
13;
13886
- 13907.
-
J. Trommer, M. Simon, S. Slesazeck, W. Weber, T. Mikolajick:
"Inherent Charge-Sharing-Free Dynamic Logic Gates Employing Transistors With Multiple Independent Inputs";
IEEE Journal of the Electron Devices Society,
8
(2020),
740
- 747.
More information
-
C. Tsay, E. Mujagic, C. Madsen, C. Gmachl, C. Arnold:
"Mid-infrared characterization of solution-processed As2S3 chalcogenide glass waveguides";
Optics Express,
18
(2010),
15;
15523
- 15530.
-
E. Tütüncü, V. Kokoric, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, B. Mizaikoff:
"Advanced gas sensors based on substrate-integrated hollow waveguides and dual-color ring quantum cascade lasers";
Analyst,
141
(2016),
6202
- 6207.
More information
-
J. Ulrich, J. Kreuter, W. Schrenk, G. Strasser, K. Unterrainer:
"Long-Wavelength (15 and 23 µm) GaAs/AlGaAs Quantum Cascade Lasers";
Applied Physics Letters,
80
(2002),
3691.
-
J. Ulrich, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade emitters based on AlAs/GaAs";
Physica E: Low-dimensional Systems and Nanostructures,
13
(2002),
900.
-
J. Ulrich, R. Zobl, N. Finger, K. Unterrainer, G. Strasser, E. Gornik:
"Terahertz-electroluminescence in a quantum cascade structure";
Physica B: Condensed Matter,
272
(1999),
216
- 218.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer, E. Gornik:
"Terahertz quantum cascade structures: Intra- versus interwell transition";
Applied Physics Letters,
77
(2000),
1928.
-
J. Ulrich, R. Zobl, K. Unterrainer, G. Strasser, E. Gornik, K.D. Maranowski, A.C. Gossard:
"Temperature dependence of far-infrared electroluminescence in parabolic quantum wells";
Applied Physics Letters,
74
(1999),
3158.
-
J. Ulrich, R. Zobl, K. Unterrainer, G. Strasser, E. Gornik:
"Magnetic field enhanced quantum cascade emissionfield enhanced quantum cascade emission";
Applied Physics Letters,
76
(2000),
19
- 21.
-
K. Unterrainer, A. Benz, J. Darmo, C. Deutsch, G. Fasching, J. Kröll, D. Kelly, M. Martl, T. Müller, W. Parz, S. Dhillon, C. Sirtori, A. M. Andrews, W. Schrenk, G. Strasser, X. Marcadet, M. Calligaro, H. Beere, D. Ritchie:
"Terahertz Quantum Cascade Device: From Intersubband Transition to Microcavity Laser (Invited Paper)";
IEEE Journal of Selected Topics in Quantum Electronics,
14
(2008),
2;
307
- 314.
-
K. Unterrainer, R. Colombelli, C. Gmachl, F. Capasso, H. Y. Hwang, D. L. Sivco, A. Y. Cho:
"Quantum Cascade Lasers with Double Metal-Semiconductor Waveguide Resonators";
Applied Physics Letters,
80
(2002),
3060.
-
K. Unterrainer, J.N. Heyman, K. Craig, B. Galdrikian, M.S. Sherwin, K. Campman, P.F. Hopkins, A.C. Gossard:
"Intersubband dynamics of asymmetric quantum wells studied by THz ´optical rectification´";
Semiconductor Science and Technology,
11
(1996),
1591.
-
K. Unterrainer, J.N. Heyman, K. Craig, B. Galdrikian, M.S. Sherwin, H. Drexler, K. Campman, P.F. Hopkins, A.C. Gossard:
"Nonlinear Resonant Optical Rectification in a Coupled Quantum Well";
Surface Science,
361
(1996),
401.
-
K. Unterrainer, J.N. Heyman, K. Craig, B. Galdrikian, M.S. Sherwin, K. Campman, P.F. Hopkins, A.C. Gossard:
"Optical Rectification as a Probe of Quantum Dynamics in a Heterostructure";
Superlattices and Microstructures,
17
(1995),
159.
-
K. Unterrainer, B.J. Keay, M.C. Wanke, S.J. Allen, D. Leonard, G. Medeiros-Ribeiro, U. Bhattacharya, M.J.W. Rodwell:
"Inverse Bloch-oscillator: Strong terahertz-photocurrent resonances at the Bloch frequency";
Physical Review Letters,
76
(1996),
2973.
-
K. Unterrainer, R. Kersting, R. Bratschitsch, T. Müller, G. Strasser, J.N. Heyman:
"Few-cycle THz spectroscopy of semiconductor quantum structures";
Physica E: Low-dimensional Systems and Nanostructures,
9
(2001),
76.
-
K. Unterrainer, R. Kersting, R. Bratschitsch, G. Strasser, J.N. Heyman, K.D. Maranowski, A.C. Gossard:
"Few-Cycle THz spectroscopy of nanostructures";
Physica E: Low-dimensional Systems and Nanostructures,
7
(2000),
693
- 697.
-
H.M. Urbassek, M. Nietiadi, R.M. Bradley, G. Hobler:
"Sputtering of SicGe1−c nanospheres";
Physical Review B,
97
(2018),
155408;
10 pages.
More information
-
R. von Criegern, F. Jahnel, R. Lange-Gieseler, P. Pearson, G. Hobler, A. Simionescu:
"Vertification of "lateral second. ion mass spectrom." as method for measuring lateral dopant dose distr. in me test str.";
Journal of Vacuum Science & Technology B,
B 16(1)
(1998),
386
- 393.
-
H.-H. Vuong, Y. Xie, M. Frei, G. Hobler, L. Pelaz, C.S. Rafferty:
"Use of transient enhanced diffusion to tailor boron out-diffusion";
IEEE Transactions on Electron Devices,
47
(2000),
1401
- 1405.
-
D. Wacht, M. David, B. Hinkov, H. Detz, A. Schwaighofer, B. Baumgartner, B. Lendl:
"Mesoporous Zirconia Coating for Sensing Applications Using Attenuated Total Reflection Fourier Transform Infrared (ATR FT-IR) Spectroscopy";
Applied Spectroscopy,
76
(2022),
1;
141
- 149.
More information
-
S. Wachter, M. Gavagnin, H. D. Wanzenböck, M. Shawrav, D. Belic, E. Bertagnolli:
"Nitrogen as a carrier gas for regime control in focused electron beam induced deposition";
Nanofabrication,
1
(2014),
16
- 22.
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"A microprocessor based on a two-dimensional semiconductor";
Arxiv,
1612.00965
(2016).
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"A microprocessor based on a two-dimensional semiconductor";
Nature Communications,
8
(2017),
14948;
1
- 6.
-
A. Wacker, S. Bose, C. Rauch, G. Strasser, E. Gornik:
"Transmission through Superlattices with Interface Roughness";
Superlattices and Microstructures,
25 (1/2)
(1999),
43.
-
S. Wagesreither, E. Bertagnolli, S. Kawase, Y. Isono, A. Lugstein:
"Electrostatic actuated strain engineering in monolithically integrated VLS grown silicon nanowires";
Nanotechnology,
25
(2014),
45;
455705-1
- 455705-6.
-
S. Wagesreither, A. Lugstein, E. Bertagnolli:
"Anisotropic lithiation behavior of crystalline silicon";
Nanotechnology,
23
(2012),
4957161
- 4957164.
-
M. Wagner, D. Golde, D. Stehr, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser, M. Kira, S. Koch:
"Fano profile in the intersubband terahertz response of photoexcited GaAs/AlGaAs quantum wells";
Journal of Physics: Conference Series,
193
(2009),
012073;
1
- 4.
-
M. Wagner, H. Schneider, D. Stehr, S. Winnerl, A. M. Andrews, S. Schartner, G. Strasser, M. Helm:
"Observation of the Intraexciton Autler-Townes Effect in GaAs=AlGaAs Semiconductor QuantumWells";
Physical Review Letters,
105
(2010),
1674011
- 1674014.
-
M. Wagner, H. Schneider, D. Stehr, S. Winnerl, A. M. Andrews, S. Schartner, G. Strasser, M. Helm:
"Terahertz nonlinear optics using intra-excitonic quantum well transitions: Sideband generation and AC Stark splitting";
Physica Status Solidi B - Basic Solid State Physics,
246
(2011),
4;
859
- 862.
-
M. Wagner, H. Schneider, D. Stehr, S. Winnerl, M. Helm, T. Roch, A. M. Andrews, S. Schartner, G. Strasser:
"Terahertz Induced Intra-excitonic Autler-Townes Effect In Semiconductor Quantum Wells";
AIP Conference Proceedings,
1399
(2011),
479
- 480.
-
M. Wagner, H. Schneider, S. Winnerl, M. Helm, T. Roch, A. M. Andrews, S. Schartner, G. Strasser:
"Resonant enhancement of second order sideband generation for intraexcitonic transitions in GaAs/AlGaAs multiple quantum wells";
Applied Physics Letters,
94
(2009),
2411051
- 2411053.
-
S. Waid, H. D. Wanzenböck, M. Gavagnin, R. Langegger, M. Mühlberger, E. Bertagnolli:
"Focused ion beam induced Ga-contamination-An obstacle for UV-nanoimprint stamp repair?";
Journal of Vacuum Science & Technology B,
31
(2013),
0416021
- 0416028.
-
S. Waid, H. D. Wanzenböck, M. Mühlberger, E. Bertagnolli:
"Optimization of 3D patterning by Ga implantation and reactive ion etching (RIE) for nanoimprint lithography (NIL) stamp fabrication";
Microelectronic Engineering,
97
(2012),
105
- 108.
-
S. Waid, H. D. Wanzenböck, M. Mühlberger, M. Gavagnin, E. Bertagnolli:
"Focused ion beam direct patterning of hardmask layers";
Journal of Vacuum Science & Technology B,
32
(2014),
4;
041602-1
- 041602-9.
-
S. Waid, H. D. Wanzenböck, M. Mühlberger, M. Gavagnin, E. Bertagnolli:
"Generation of 3D nanopatterns with smooth surfaces";
Nanotechnology,
25
(2014),
31;
1
- 10.
-
C. Wang, B. Schwarz, D. Siriani, M. Connors, L. Missaggia, D.R. Calawa, D. McNulty, A. Akey, M. Zheng, J. Donnelly, T. Mansuripur, F. Capasso:
"Sensitivity of heterointerfaces on emission wavelength of quantum cascade lasers";
Journal of Crystal Growth,
464
(2016),
215
- 220.
More information
-
C. Wang, B. Schwarz, D. Siriani, L. Missaggia, M. Connors, T. Mansuripur, D.R. Calawa, D. McNulty, M. Nickerson, J. Donnelly, K. Creedon, F. Capasso:
"MOVPE Growth of LWIR AlInAs/GaInAs/InP Quantum Cascade Lasers: Impact of Growth and Material Quality on Laser Performance";
IEEE Journal of Selected Topics in Quantum Electronics (invited),
23
(2017),
6;
1200413-1
- 1200413-13.
More information
-
H. D. Wanzenböck, E. Bertagnolli, B. Basnar, J. Smoliner, M. Gritsch, H. Hutter, J. Brenner, C. Tomastik, H. Störi:
"Surface and interface study of ion beam deposited silicon oxide thin films";
Electrochemical Society Interface,
2001-2007
(2001),
44
- 51.
-
H. D. Wanzenböck, S. Harasek, E. Bertagnolli, M. Gritsch, H. Hutter, J. Brenner, H. Störi, U. Grabner:
"Direct-write deposition of silicon oxide - the express lane towards patterned thin films";
Electrochemical Society Interface,
2003
(2003),
2;
369
- 380.
-
H. D. Wanzenböck, G. Hochleitner, J. Mika, M. Shawrav, M. Gavagnin, E. Bertagnolli:
"Mapping of local argon impingement on a virtual surface: an insight for gas injection during FEBID";
Applied Physics A: Materials Science & Processing,
117
(2014),
4;
1749
- 1756.
-
H. D. Wanzenböck, H. Langfischer, S. Harasek, B. Basnar, H. Hutter, E. Bertagnolli:
"Versatile nanodeposition of dielectrics and metals by non-contact direct-write";
Mat. Res. Soc. Symp. Proc.,
758 (Rapid Prototyping Technologies)
(2003),
157
- 162.
-
H. D. Wanzenböck, A. Lugstein, H. Langfischer, E. Bertagnolli, M. Gritsch, H. Hutter:
"Ion beam induced chemical vapour deposition of dielectric materials";
Mat. Res. Soc. Symp. Proc.,
624
(2001),
163
- 170.
-
H. D. Wanzenböck, C. Ostermaier, A Gruen, B. Eichinger, M. Karner, E. Bertagnolli:
"Dot-array implantation for patterned doping of semiconductors";
Nuclear Instruments & Methods B,
242
(2006),
257
- 260.
-
H. D. Wanzenböck, P. Rödiger, G. Hochleitner, E. Bertagnolli, W. Bühler:
"Novel method for cleaning a vacuum chamber from hydrocarbon contamination";
Journal of Vacuum Science & Technology A,
28
(2010),
6;
1413
- 1420.
-
H. D. Wanzenböck, S. Waid, E. Bertagnolli, M. Mühlberger, I. Bergmair, R. Schöftner:
"Nanoimprint lithography stamp modification utilizing focused ion beams";
Journal of Vacuum Science & Technology B,
27
(2009),
6;
2679
- 2685.
-
N. Weißenbacher, B. Lendl, J. Frank, H. D. Wanzenböck, R. Kellner:
"Continuous Surface Enhanced Raman Spectroscopy for the detection of trace organic pollutants in aqueous systems";
Journal of Molecular Structure,
410-411
(1997),
539
- 542.
-
N. Weißenbacher, B. Lendl, J. Frank, H. D. Wanzenböck, R. Kellner:
"Surface Enhanced Raman Spectroscopy as a Molecular Specific Detection System in Aqueous Flow- Through Systems";
The Analyst,
123
(1998),
1057
- 1060.
-
M. Wenclawiak, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Superradiant Ensembles of Terahertz Polaritonic Meta-Atoms";
IEEE Photonics Journal,
12
(2020),
5;
1
- 9.
-
L. Wendler, N. Finger, E. Gornik:
"Grating-coupler assisted infrared spectroscopy on anisotropic multilayer systems: A comparative study";
Infrared Physics & Technology,
46
(2005),
291
- 307.
-
L. Wind, R Böckle, M. Sistani, P. Schweizer, X. Maeder, J. Michler, C. Murphey, J.F. Cahoon, W. Weber:
"Monolithic and Single-Crystalline Aluminum−Silicon Heterostructures";
ACS Applied Materials & Interfaces,
14
(2022),
26238
- 26244.
More information
-
L. Wind, M. Sistani, Z. Song, X. Maeder, D. Pohl, J. Michler, B. Rellinghaus, W. Weber, A. Lugstein:
"Monolithic Metal−Semiconductor−Metal Heterostructures Enabling Next-Generation Germanium Nanodevices";
ACS Applied Materials & Interfaces,
13
(2021),
12393
- 12399.
-
K. Winkler, E. Bertagnolli, A. Lugstein:
"Origin of Anomalous Piezoresistive Effects in VLS Grown Si Nanowires";
Nano Letters,
15
(2015),
1780
- 1785.
-
C. Wirner, C. Hamaguchi, J. Smoliner, N. Reinacher, E. Gornik:
"Self consistent determination of the confinement potential in various etched quantum wire structures";
Journal of Applied Physics,
34 no 8B
(1995),
4458.
-
C. Wirner, H. Momose, C. Hamaguchi, J. Smoliner, A. Köck, E. Gornik:
"Enhanced electron mobility in novel side gated quantum wire structures";
Semiconductor Science and Technology,
11
(1996),
1065.
-
M. Zapf, R. Röder, K. Winkler, L. Kaden, J.M. Greil, M. Wille, M. Grundmann, R. Schmidt-Grund, A. Lugstein, C. Ronning:
"Dynamical Tuning of Nanowire Lasing Spectra";
Nano Letters,
17
(2017),
6637
- 6643.
-
T. Zederbauer, A. M. Andrews, D. MacFarland, H. Detz, W. Schrenk, G. Strasser:
"Enhanced Crystal Quality of AlxIn1-xAsySb1-y for Terahertz Quantum Cascade Lasers";
Photonics,
3
(2016),
2;
1
- 9.
More information
-
T. Zederbauer, A. M. Andrews, D. MacFarland, H. Detz, W. Schrenk, G. Strasser:
"Incorporation of Sb and As in MBE grown GaAsxSb1-x layers";
APL Materials,
5
(2017),
3;
035501-1
- 035501-6.
More information
-
C. Zeiner, A. Lugstein, T. Burchhart, P. Pongratz, J. Connell, L. Lauhon, E. Bertagnolli:
"Atypical Self-Activation of Ga Dopant for Ge Nanowire Devices";
Nano Letters,
11
(2011),
3108
- 3112.
-
C. Zimmermann, O. Bethge, K. Winkler, B. Lutzer, E. Bertagnolli:
"Improving the ALD-grown Y2O3/Ge interface quality bysurface and annealing treatments";
Applied Surface Science,
369
(2016),
377
- 383.
-
R. Zobl, K. Unterrainer, G. Strasser, E. Gornik:
"Magneto-optical terahertz emission from plasmons in parabolic quantum wells";
Semiconductor Science and Technology,
15
(2000),
315
- 321.
-
S. Zybell, S. Bhattacharyya, S. Winnerl, F. Eßer, M. Helm, H. Schneider, L. Schneebeli, C. Böttge, M. Kira, S. Koch, A. M. Andrews, G. Strasser:
"Characterization intra-exciton Coulomb scattering in terahertz excitations";
Applied Physics Letters,
105
(2014),
201109;
201109-1
- 201109-5.
More information
Editorials in Scientific Journals
-
H. Detz, H. D. Wanzenböck:
"Special issue on micro- and nano-pattering";
Microelectronic Engineering,
177
(2017),
A1.
Contributions to Books
-
S. Abermann, C. Henkel, O. Bethge, E. Bertagnolli:
"Atomic Layer Deposited Lanthanum-(Zirconate/Aluminate) Based High-K Dielectric Stacks For Future CMOS-Technology";
in: "Physics and Technology of High-k Gate Dielectrics 6",
ECS Transactions,
Pennington,
2008,
ISBN: 9781566776516,
273
- 283.
-
S. Abermann, C. Ostermaier, G. Pozzovivo, J. Kuzmik, O. Bethge, C. Henkel, G. Strasser, D. Pogany, C. Giesen, M. Heuken, E. Kohn, M. Alomari, E. Bertagnolli:
"Atomic Layer Deposition of high-k oxides on InAlN/GaN-based materials";
in: "ECS transactions",
issued by: The Electrochemical Society;
The Electrochemical Society,
2009,
123
- 129.
-
S Anders, G. Strasser, E. Gornik:
"Long wavelength laser diodes";
in: "Handbook of Laser Technology and Applications",
IoP Publishing,
Bristol. UK,
2004,
271
- 286.
-
A. M. Andrews, M. Schramböck, G. Strasser:
"InAs quantum dots on AlxGa1-xAs surfaces and in an AlxGa1-xAs matrix";
in: "Handbook of Self Assembled Semiconductor Nanostructures for Novel Devices in Photonics and Electronics:",
Academic Press,
2008,
ISBN: 9780080463254,
62
- 83.
-
A. M. Andrews, T. Zederbauer, H. Detz, D. MacFarland, W. Schrenk, G. Strasser:
"Chapter 26 - THz Quantum Cascade Lasers";
in: "Molecular Beam Epitaxy (Second Edition): From Research to Mass Production",
Elsevier,
2018,
ISBN: 978-0-12-812136-8,
597
- 624.
More information
-
B. Basnar:
"Nanopattern Formation Using Dip-Pen Nanolithography";
in: "Tip-Based Nanofabrication Fundamentals and Applications",
Springer,
2011,
ISBN: 978-1-4419-9898-9,
207
- 264.
-
K. Becker, C. Hahn, N. Jährling, M. Pende, I. Sabdyusheva Litschauer, S. Saghafi, M. Wanis, H. Dodt:
"Chemical Clearing of Brains";
in: "Handbook of Neurophotonics (Series in Cellular and Clinical Imaging)",
CRC Press,
2020,
ISBN: 978-1498718752,
191
- 219.
-
K. Becker, N. Jährling, S. Saghafi, H. Dodt:
"Ultramicroscopy; Light-Sheet-Based Microscopy for Imaging Centimeter-Sized Objects with Micrometer Resolution";
in: "Imaging",
Cold Spring Harbor Laboratory,
2011,
ISBN: 0879699361,
757
- 771.
-
K. Becker, S. Saghafi, C. Hahn, N. Jährling, H. Dodt:
"Chemical clearing of GFP-expressing neural tissues";
in: "Neurohistology and Imaging Techniques",
Neuromethods 153;
issued by: Humana Press;
Springer,
2018,
183
- 199.
More information
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Designer Laser Resonators based on Amplifying Photonic Crystals";
in: "Frontiers in Guided Wave Optics and Optoelectronics",
SCIYO,
2010,
ISBN: 978-953-7619-82-4,
501
- 512.
-
O. Bethge, S. Abermann, C. Henkel, E. Bertagnolli:
"Al2O3/ZrO2/Al2O3 High-k Dielectric Stacks on Germanium Substrates Grown by Atomic Layer Deposition at High and Low Temperatures";
in: "Physics and Technology of High-k Gate Dielectrics 6",
ECS Transactions,
USA,
2008,
ISBN: 9781566776516,
365
- 373.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Coherent THz emission from optically pumped intersubband plasmons in parabolic quantum wells";
in: "Ultrafast Phenomena XII",
T. Elsaesser, S. Mukamel, M. Murnane, N. Scherer (ed.);
Springer-Verlag,
2000,
203.
-
R. Bratschitsch, K. Unterrainer:
"Terahertz physics of semiconductor heterostructures";
in: "Encyclopedia of Modern Optics",
B. Guenther, D. Steel, L. Bayvel (ed.);
Elsevier,
Paris, France,
2005,
ISBN: 0-12-227600-0,
168
- 176.
-
H. Dodt, M. Eder, A. Schierloh, W. Zieglgänsberger:
"Infrared-Guidet Neurotransmitter Uncaging on Dendrites";
in: "Imaging In Neuroscience",
Cold Spring Harbor Laboratory,
2011,
ISBN: 0879699388,
387
- 391.
-
V. Friedli, H. D. Wanzenböck, I. Utke:
"Gas Injection Systems for FEB and FIB Processing Theory and Experiment";
in: "Nanofabrication Using Focused Ion and Electron Beam",
I. Utke, S. Moshkalev, P. Russell (ed.);
Oxford University Press,
2012,
ISBN: 9780199734214,
126
- 141.
-
E. Gornik:
"Fundamentals of Diode Lasers";
in: "Laser Physics and Applications",
Springer,
2008,
ISBN: 3540288244,
151
- 160.
-
E. Gornik, R. Kersting:
"Coherent THz Emission in Semiconductors";
in: "Semiconductors and Semimetals",
K.T. Tsen (ed.);
Springer-Verlag,
2000,
389
- 434.
-
C. Henkel, S. Abermann, O. Bethge, E. Bertagnolli:
"Pt/Ge Schottky-Barrier Reduction by Rapid Thermal Diffusion of P Dopants";
in: "Semiconductor Devices, Materials, and Processing 8",
ECS Transactions,
2010,
ISBN: 978-156677744-5,
815
- 820.
-
C. Henkel, S. Abermann, O. Bethge, P. Klang, E. Bertagnolli:
"Impact of sputter deposited TaN and TiN metal gates on ZrO2/Ge and ZrO2/Si high-k dielectric gate stacks";
in: "ULIS 2009. 10th International Conference on Ultimate Integration of Silicon",
IEEE Xplore,
2009,
ISBN: 978-1-4244-3704-7,
197.
-
C. Henkel, S. Abermann, O. Bethge, M. Reiche, E. Bertagnolli:
"Atomic Layer Deposition of High-k/Metal Gate Stack MOSFET-Devices on Strained-Silicon-on-Insulator Substrates";
in: "Physics and Technology of High-k Gate Dielectrics 6",
ECS Transactions,
2008,
ISBN: 9781566776516,
195
- 201.
-
N. Jährling, K. Becker, S. Saghafi, H. Dodt:
"Light-Sheet Fluorescence Microscopy:Chemical Clearing and Labeling Protocols for Ultramicroscopy";
in: "Light Microscopy",
issued by: Humana Press;
Springer,
New York,
2017,
ISBN: 9781493968084,
33
- 49.
-
R. Kersting, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Excitation Dynamics beyound Slowly-Varying Envelope Approximation";
in: "Ultrafast Phenomena XII",
T. Elsaesser, S. Mukamel, M. Murnane, N. Scherer (ed.);
Springer-Verlag,
2000,
235.
-
H. Kim, G. Hobler:
"Simulation of Focused Ion Beam Milling";
in: "Nanofabrication Using Focused Ion and Electron Beam",
I. Utke, S. Moshkalev, P. Russell (ed.);
Oxford University Press,
2012,
ISBN: 9780199734214,
226
- 247.
-
C. Kranz, B. Mizaikoff, A. Lugstein, E. Bertagnolli:
"Integrating an Ultramicroelectrode in an AFM Cantilever: Towards the Development of Combined Microsensing Imaging Tools";
in: "Enviromental Electrochemistry, Analysis of Trace Element Biogeochemistry",
C. Kranz, B. Mizaikoff, A. Lugstein, E. Bertagnolli (ed.);
American Chemical Society,
2002,
ISBN: 0-8412-3774-3,
320.
-
A. Kueng, C. Kranz, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"Nanoelectrodes Integrated in Atomic Force Microscopy Canilevers for Imaging of In Situ Enzyme Activity";
in: "Protein Nanotechnology, Protocols, Instrumentals and",
Humana Press,
2005,
ISBN: 1-58829-310-6,
403
- 416.
-
G. Rammes, M. Eder, W. Zieglgänsberger, H. Dodt:
"Infrared-Guided Laser Stimulation as a Tool for Elucidating the Synaptic Site of Expression of Long-Term Synaptic Plasticity";
in: "Patch-Clamp Methods and Protocols",
Humana Press,
Totowa, New Jersey,
2007,
113
- 123.
-
F. Rüdenauer, G. Hobler, J. Mitterauer, H. Koops, L. Palmetshofer, H. Bluhm:
"Ion beam devices for material processing and analysis";
in: "Vacuum Electronics, Components and Devices",
Springer,
Berlin,
2008,
ISBN: 9783540719281,
231
- 263.
-
S. Saghafi, K. Becker, N. Jährling, C. Hahn, H. Dodt:
"Ultramicroscopy of Nerve Fibers and Neurons: Fine-Tuning the Light Sheets";
in: "Neurohistology and Imaging: Basic Techniques",
Neuromethods 153;
issued by: Humana Press;
Springer,
2018,
325
- 339.
More information
-
J. Smoliner, G. Ploner:
"Electron transport and confining potentials in nanostructures";
in: "Handbook of Nanostructured Materials and Nanotechnology",
H. Nalwa (ed.);
Academic Press,
2000,
1
- 91.
-
H. D. Wanzenböck:
"Focused Particle Beam Induced Deposition of Silicon Dioxide";
in: "Nanofabrication Using Focused Ion and Electron Beam",
I. Utke, S. Moshkalev, P. Russell (ed.);
Oxford University Press,
2012,
ISBN: 9780199734214,
617
- 650.
-
H. D. Wanzenböck, S. Waid:
"Focused Ion Beam Lithography";
in: "Recent advances in Nanofabrication Techniques and Applications",
INTECH,
2011,
ISBN: 978-953-307-602-7,
27
- 50.
Contributions to Proceedings
-
A. M. Andrews, P. Klang, H. Detz, A. Lugstein, M. Schramböck, M. Steinmair, Y.J. Hyun, E. Bertagnolli, T. Müller, K. Unterrainer, W. Schrenk, G. Strasser:
"MBE Growth of GaAs Whiskers on Si Nanowires";
in: "29th International Conference on the Physics of Semiconductor",
American Institute of Physics,
2010,
2 pages.
-
A.M. Andrews, T. Roch, A. Benz, G. Fasching, W. Schrenk, K. Unterrainer, G. Strasser:
"Optimization of MBE growth parameters for GaAs-based THz quantum cascade lasers";
in: "AIP Conference Proceedings",
W. Jantsch, F. Schäffler (ed.);
American Institute of Physics,
New York, USA,
2007,
ISBN: 978-0-7354-0398-7,
2 pages.
-
M. Austerer, C. Pflügl, W. Schrenk, T. Roch, G. Strasser:
"Surface Emitting Quantum Cascade Laser";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
31.
-
M. Austerer, S. Schartner, M. Nobile, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser:
"Vertical Second-Harmonic Emission form Quantum Cascade Lasers";
in: "AIP Conference Proceedings",
American Institute of Physics,
New York, USA,
2007,
ISBN: 978-0-7354-0398-7,
Paper ID 893,
2 pages.
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, P. Souza, M. Pires, G. Strasser:
"Tuning Of Quantum Cascade Lasers Using Chromic Claddings";
in: "29th International Conference on the Physics of Semiconductors",
American Institute of Physics,
2010,
2 pages.
-
M. Bell, A. Sergeev, V. Mitin, J. Bird, G. Strasser, A. Verevkin:
"Interaction Effects in Quasi-Ballistic One-Dimensional Channels Formed in AlGaAs/GaAs Heterostructures";
in: "29th International Conference on the Physics of Semiconductor",
American Institute of Physics,
2010,
2 pages.
-
M. Cagnazzo, S. Dal Cin, H. Herzog, M. Villa, H. Böck:
"Transmutation rates determination for the study of nuclear fuel composition under irradiation";
in: "Proceedings of the European Research Reactor Conference 2018",
European Nuclear Society (ed.);
issued by: European Nuclear Society;
European Nuclear Society,
Brussels, Belgium,
2018,
Paper ID 45,
7 pages.
More information
-
M. Coquelin, R. Zobl, G. Strasser, E. Gornik:
"Plasmon Enhanced THz Emission";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
49.
-
M. Coquelin, R. Zobl, G. Strasser, E. Gornik:
"Recent Structures for Plasma Instability Search";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
117
- 119.
-
M. Coquelin, R. Zobl, G. Strasser, E. Gornik, P. Bakshi, V. Umansky, M. Heiblum:
"THz Emission Based On Intersubband Plasmon Resonances";
in: "29th International Conference on the Physics of Semiconductors",
American Institute of Physics,
2010,
2 pages.
-
J. Darmo, G. Strasser, J. Kröll, K. Unterrainer:
"Heterostructure-Based Photoconductive Terahertz Emitters";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
53.
-
G. Fasching, A. Benz, A.M. Andrews, R. Zobl, T. Roch, W. Schrenk, G. Strasser, V. Tamosiunas, K. Unterrainer:
"Dual-mode microcavity THz quantum-cascade lasers";
in: "AIP Conference Proceedings",
American Institute of Physics,
New York, USA,
2007,
ISBN: 978-0-7354-0398-7,
Paper ID 893,
2 pages.
-
G. Fasching, F. Schrey, G. Strasser, K. Unterrainer:
"Photocurrent and Photoluminescence Measurements of InAs Quantum Dots";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
65.
-
T. Gebhard, P. Souza, F. Schrey, G. Strasser, K. Unterrainer, M. Pires, S. Landi, J.M. Villas-Boas, N. Studart:
"Polarization dependence of photocurrent in quantum-dot infrared photodetectors";
in: "AIP Conference Proceedings",
American Institute of Physics,
New York, USA,
2007,
ISBN: 978-0-7354-0398-7,
Paper ID 893,
2 pages.
-
S. Golka, W. Schrenk, G. Strasser:
"Fabrication of Dry Etched Planar Photonic Crystals for THz Regime";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
45.
-
L. Hoffmann, C. Hurni, S. Schartner, E. Mujagic, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, G. Strasser:
"Phase-locking in Y-junction Quantum Cascade Lasers";
in: "29th International Conference on the Physics of Semiconductor",
American Institute of Physics,
2010,
2 pages.
-
M. Kast, C Pacher, M. Coquelin, W Boxleitner, G. Strasser, E. Gornik:
"High-Resolution Hot -Electron Spectroscopy in Parallel Magnetic Fields";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
71.
-
V. Mokkapati, O. Bethge, R. Hainberger, H. Brückl:
"Microfluidic Chips Fabrication from UV Curable Adhesives for Heterogeneous Integration";
in: "Proceedings of the IEEE Electronic Components and Technology Conference",
IEEE - Institute of Electrical and Electronics Engineers, Inc.,
2012,
ISBN: 978-1-4673-1965-2,
1965
- 1969.
-
T. Müller, T. Moldaschl, G. Strasser, K. Unterrainer:
"Ultrafast spectral hole burning spectroscopy of exciton spin relaxation in quantum dots";
in: "AIP Conference Proceedings",
W. Jantsch, F. Schäffler (ed.);
American Institute of Physics,
New York, USA,
2007,
ISBN: 978-0-7354-0398-7,
2 pages.
-
T. Müller, F. Schrey, G. Fasching, G. Strasser, K. Unterrainer:
"Ultrafast Intraband Dynamics in InAs/GaAs Quantum Dots";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
59.
-
E. Mujagic, L. Hoffmann, S. Schartner, M. Nobile, H. Detz, D. Andrijasevic, M. Austerer, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, G. Strasser:
"Coherence and beam shaping in Quantum Cascade Lasers";
in: "Proceedings of SPIE Vol. 7230",
SPIE,
2009, (invited),
7230151
- 7230158.
-
E. Mujagic, S. Schartner, L. Hoffmann, D. Andrijasevic, M. Nobile, H. Detz, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Grating-Induced Beam-Tuning in Quantum Cascade Ring Lasers";
in: "29th International Conference on the Physics of Semiconductor",
American Institute of Physics,
2010,
2 pages.
-
E. Mujagic, C. Schwarzer, M. Nobile, H. Detz, S. Ahn, P. Klang, A. M. Andrews, W. Schrenk, C. Deutsch, K. Unterrainer, J. Chen, C. Gmachl, G. Strasser:
"Ring resonator-based surface emitting quantum cascade lasers";
in: "Proc. of SPIE",
SPIE,
2010,
10 pages.
-
R. Nedzinskas, B. Cechavicius, J. Kavaliauskas, V. Karpus, D. Seliuta, V. Tamosiunas, G. Valusis, F. Schrey, K. Unterrainer, G. Strasser:
"Electronic Structure Of InAs Quantum Dots In GaAs/AlAs Superlattice";
in: "29th International Conference on the Physics of Semiconductor",
American Institute of Physics,
2010,
2 pages.
-
M. Nobile, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"An aluminum-free mid-infrared quantum cascade laser";
in: "Proc. of SPIE",
SPIE,
2010,
8 pages.
-
C. Pflügl, M. Litzenberger, W. Schrenk, D. Pogany, E. Gornik, G. Strasser:
"Interferometric Temperature Mapping of GaAs-based Quantum Cascade Laser";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
33.
-
G. Pillwein, G. Brunthaler, G. Strasser:
"Fabrication and Characterization of Lateral Quantum Dots in GaAs Heterostructures";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
67.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"BEEM/BEES Investigations on AlAs/GaAs Single Barriers and RTDs";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
75.
-
T. Roch, W. Schrenk, S Anders, C. Pflügl, G. Strasser:
"X-Ray Investigatin of Interface Broadening by Rapid Thermal Processing";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
109.
-
S. Schartner, M. Nobile, M. Austerer, E. Mujagic, L. Hoffmann, D. Andrijasevic, H. Detz, W. Schrenk, P. Klang, A. M. Andrews:
"Photonic crystal band edge and defect states in the spectral response of intersubband detectors";
in: "29th International Confernce on the Physics of Semiconductors",
American Institute of Physics,
2010,
2 pages.
-
C. Schöndorfer, A. Lugstein, L. Bischoff, Y.J. Hyun, P. Pongratz, E. Bertagnolli:
"A Nanowire Growth Technique Utilizing Focused Ion Beams";
in: "AIP Conference Proceedings",
American Institute of Physics,
New York, USA,
2007,
ISBN: 978-0-7354-0398-7,
Paper ID 893,
2 pages.
-
W. Schrenk, S Anders, T. Roch, C. Pflügl, G. Strasser:
"Tuning Quantum-Cascade Lasers by Postgrowth Rapid Thermal Processing";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
37.
-
F. Schrey, G. Fasching, T. Müller, G. Strasser, K. Unterrainer:
"Confocal Micro-Photoluminescence and Micro-Photoluminescence Excitation Spectroscopy on Single Self Assembled InAs Quantum Dots";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
61.
-
F. Schrey, D. Nguyen, N. Regnault, R. Ferreira, G. Bastard, G. Strasser, K. Unterrainer:
"Optical properties of IR quantum dot detectors with miniband tunnel extraction";
in: "AIP Conference Proceedings",
American Institute of Physics,
New York,
2007,
ISBN: 978-0-7354-0398-7,
Paper ID 893,
2 pages.
-
P Schwaha, S Anders, V Tamosiunas, W. Schrenk, G. Strasser:
"Light Field in Quantum Cascade Ring Lasers";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
39.
-
D. Stehr, S. Winnerl, M. Helm, A. M. Andrews, T. Roch, G. Strasser:
"Relaxation Dynamics of Interminiband Transitions and Electron Cooling in Doped GaAs/AlGaAs Superlattices";
in: "AIP Conference Proceedings",
American Institute of Physics,
New York, USA,
2007,
ISBN: 978-0-7354-0398-7,
Paper ID 893,
2 pages.
-
V Tamosiunas, R. Zobl, G. Fasching, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, L. Pfeiffer, K. West, F. Capasso:
"Terahertz Quantum-Cascade Lasers in a Magnetic Field";
in: "GMe Annual Report 2003",
Gesellschaft für Mikro- und Nanoelektronik,
2004,
ISBN: 3-901578-12-9,
41.
-
M. Wagner, D. Stehr, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser:
"Intraminiband Relaxation In Doped GaAs/AlGaAs Superlattices Studied By Two-Color Infrared Pump-Probe Experiments";
in: "29th International Conference on the Physics of Semiconductors",
American Institute of Physics,
2010,
2 pages.
Talks and Poster Presentations (with Proceedings-Entry)
-
S. Abermann, O. Bethge, C. Henkel, E. Bertagnolli:
"Investigation of conductance- and trapping-characteristics of Al2O3/ZrO2/Al2O3 high-k gate oxides";
Poster: Workshop on Dielectrics in Microelectronics (WODIM),
Berlin, Deutschland;
2008-06-23
- 2008-06-25; in: "WoDiM 2008",
(2008).
-
S. Abermann, O. Bethge, C. Henkel, E. Bertagnolli:
"New Materials and Devices for Future Generation CMOS technologies";
Talk: GMe Forum 2008,
Wien (invited);
2008-11-13
- 2008-11-14; in: "GMe Forum 2008",
(2008),
3.
-
S. Abermann, O. Bethge, C. Henkel, E. Bertagnolli:
"Subsequent atomic layer deposition of high-k/metal gate MOS capacitors";
accepted as poster for: 8th International Conference on Atomic Layer Deposition,
Bruges, Belgium;
2008-06-29
- 2008-07-02; in: "Abstracts",
(2008).
-
S. Abermann, J. Efavi, G. Sjoblom, M. Lemme, J. Olsson, E. Bertagnolli:
"Impact of Al-, Ni-, TiN-, and Mo metal gates on MOCVD-grown HfO2 and ZrO2 high-k dielectrics";
accepted as talk for: Workshop on Dielectrics in Microelectronics (WODIM),
Santa Tecla, Italy;
2006-06-26
- 2006-06-28; in: "Microelectronics Reliability".
-
S. Abermann, J. Efavi, G. Sjoblom, M. Lemme, J. Olsson, E. Bertagnolli:
"Processing and evaluation of metal gate/high-k/Si capacitors";
accepted as poster for: International Conference of Micro- and Nano-Engineering 2006,
Barcelona, Spain;
2006-09-17
- 2006-09-20; in: "Proceedings of the 32st International Conference on Micro- and Nano-Engineering",
(2006).
-
S. Abermann, C. Henkel, O. Bethge, E. Bertagnolli:
"Atomic Layer Deposited Lanthanum-(Zirconate/Aluminate) Based High-K Dielectric Stacks For Future CMOS-Technology";
Talk: Pacific Rim Meeting on Electrochemical and Solid-State Science,
Honolulu, HI;
2008-10-12
- 2008-10-17; in: "Abstracts",
(2008).
-
S. Abermann, G. Sjoblom, J. Efavi, M. Lemme, A. Lugstein, E. Auer, H. Gottlob, M. Schmidt, J. Olsson, E. Bertagnolli:
"Comparative study on the impact of TiN and Mo metal gates on MOCVD-grown HfO2 and ZrO2 high- dielectrics for CMOS technology";
Poster: 28th International Conference on the Physics of Semiconductors,
Wien;
2006-07-24
- 2006-07-28; in: "Proceedings of the 28th International Conference on the Physics of Semiconductors",
(2006).
-
S. Abermann, G. Sjoblom, J. Efavi, M. Lemme, J. Olsson, E. Bertagnolli:
"Comparative Study on the Impact of TiN and Mo Metal Gates on MOCVD-Grown HfO2 and ZrO2 High-κ Dielectrics for CMOS Technology";
Poster: GMe Workshop 2006,
Wien;
2006-10-13; in: "GMe Workshop 2006 Proceedings",
K. Riedling (ed.);
(2006),
ISBN: 978-3-901578-17-5;
83
- 86.
-
S. Ahn, A. M. Andrews, W. Schrenk, G. Strasser:
"Facet Reflectivity Reduction of Quantum Cascade Lasers by Tilted Facets";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2012-02-12
- 2012-02-17; in: "17th Int. Winterschool on New Developments in Solid State Physics",
(2012),
229.
-
S. Ahn, E. Mujagic, M. Nobile, H. Detz, S. Kalchmair, C. Schwarzer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Electronic beam steering of phase locked quantum cascade laser";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "16th International Winterschool Mauterndorf",
(2010),
184
- 185.
-
A. Alexewicz, H. Behmenburg, C. Giesen, M. Heuken, S. Bychikhin, J. Kuzmik, G. Strasser, D. Pogany:
"Thermal analysis and simulation of InAlGaN/AlN GaN HEMTS on Si-Diamond-Si Substrates";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Island of Porquerolles, Frankreich;
2012-05-30
- 2012-06-01; in: "WOCSDICE-EXMATEC 2012",
(2012).
-
A. Alexewicz, C. Ostermaier, C. Henkel, O. Bethge, J. Carlin, M. Gonschorek, N. Grandjean, D. Pogany, E. Bertagnolli, G. Strasser:
"Threshold Voltage Scaling In E-Mode Inaln/aln-Gan Hemts On Si Substrates";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Catania, Italien;
2011-05-29
- 2011-06-01; in: "WOCSDICE 2011",
(2011),
1
- 2.
-
A. Alexewicz, C. Ostermaier, G. Pozzovivo, W. Schrenk, M. Schmid, L. Toth, B. Pecz, J. Carlin, M. Gonschorek, N. Grandjean, J. Kuzmik, D. Pogany, G. Strasser:
"Microstructural and Electrical Analyses of Oxygen Diffusion into Iridium Metal Gates";
Poster: 60th Annual Meeting Austrian Physical Society,
Salzburg;
2010-09-06
- 2010-09-10; in: "60th Annual Meeting Austrian Physical Society",
(2010),
180
- 181.
-
P. Allmendinger, K. Komagata, A. Shehzad, R. Matthey, V. Wittwer, A. Hugi, P. Jouy, M. Mangold, S. Dal Cin, G. Strasser, B. Schwarz, M. Gianella, L. Emmenegger, T. Südmeyer, S. Schilt:
"Demonstration of frequency-stabilized quantum cascade laser dual-comb spectroscopy";
Talk: SPIE Photonics Europe Conferences,
Straßburg;
2022-04-03
- 2022-04-07; in: "Optical Sensing and Detection VII",
(2022),
Paper ID 12139-39,
1 pages.
-
S Anders, E. Gornik, W. Schrenk, G. Strasser:
"GaAs-based Quantum Cascade Laser Diodes";
Talk: Int. Conf. on Indium Phosphide and Related Materials,
Santa Barbara, California, USA (invited);
2004-05-12
- 2004-05-16; in: "Conference Proceedings of Int. Conf. on InP and Related Materials",
(2004),
235
- 238.
-
S. Anders, W. Schrenk, C. Pflügl, E. Gornik, G. Strasser, C. Becker, C. Sirtori:
"Room-temperature operation of GaAs-based quantum cascade lasers processed as ridge and microcavity waveguides";
Talk: MIOMD-V2002,
Annapolis, USA;
2002-09-08
- 2002-09-11; in: "Room temperature operation of GaAs-based quantum cascade lasers processed as ridge and microcavity",
IEE Proc.-Optoelectron.,
150
(2002),
282
- 283.
-
A. M. Andrews:
"Barrier heigth selection for high temperature THz quantum cascade lasers";
Talk: EURO-MBE Workshop,
Lenggries;
2019-02-17
- 2019-02-20; in: "Tagungsband Euro MBE 2019",
(2019).
-
A. M. Andrews:
"Tutorial for IEEE-RaPID 2018 on Quantum Cascade Lasers (QCL), Quantum Cascade Detectors (QCD), and Quantum Cascade Laser Detectors (QCLD)";
Talk: RAPID (Research and Applications of Photonics in Defense),
Miramar Beach, Florida, USA (invited);
2018-08-22
- 2018-08-24; in: "RAPID 2018",
IEEE Photonics Society,
(2018),
47.
-
A. M. Andrews, M. Austerer, A. Benz, G. Fasching, S. Schartner, M. Schramböck, W. Schrenk, K. Unterrainer, G. Strasser:
"MIR and THz GaAs-based Quantum-Cascade Lasers";
Talk: NanoForum 2007,
Linz (invited);
2007-05-17
- 2007-05-18; in: "NanoForum 2007",
(2007),
25.
-
A. M. Andrews, M Beiser, M Giparakis, H. Detz, M. A. Kainz, S. Schönhuber, B. Limbacher, B. Schwarz, J. Hillbrand, W. Schrenk, K. Unterrainer, G. Strasser:
"Growth of Intersubband Devices";
Talk: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf (invited);
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
39.
-
A. M. Andrews, A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Terahertz Quantum Cascade Laser";
Poster: 15th European Molecular Beam Epitaxy Workshop,
Zakopane - Poland;
2009-03-08
- 2009-03-11; in: "Book of Abstracts",
(2009).
-
A. M. Andrews, A. Benz, G. Fasching, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"Performance Dependence on the Dopingof THz Quantum-Cascade Lasers";
Poster: ITQW07,
Ambleside, Cumbria, U.K.;
2007-09-09
- 2007-09-14; in: "Proceedings of the Nith International Conference on Intersubband Transitions in Quantum Wells",
(2007),
2
- 3.
-
A. M. Andrews, H. Detz, P. Klang, M. Nobile, E. Mujagic, W. Schrenk, G. Strasser:
"Al-free Quantum Well Infrared Photodetectors and Quantum Cascade Lasers based on the InGaAs/GaAsSb Material System";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "16th International Winterschool Mauterndorf",
(2010),
188
- 189.
-
A. M. Andrews, H. Detz, P. Klang, M. Nobile, E. Mujagic, W. Schrenk, G. Strasser, G. Hesser:
"The Al-free InGaAs/GaAsSb/InP Materials System for Unipolar Devices";
Poster: Informationstagung Mikroelektronik ME10,
Wien;
2010-04-07
- 2010-04-08; in: "Tagungsband zur Informationstagung Mikroelektronik",
(2010),
ISBN: 978-3-85133-055-7;
49
- 54.
-
A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, B. Schwarz, M. A. Kainz, S. Schönhuber, J. Darmo, K. Unterrainer, G. Strasser:
"Materials Selection and Growth for Quantum Cascade Lasers and Detectors";
Talk: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
2018-09-02
- 2018-09-07; in: "Book of Abstracts",
(2018),
2 pages.
-
A. M. Andrews, G. Fasching, A. Benz, T. Roch, W. Schrenk, K. Unterrainer, G. Strasser:
"Ga-As-based Quantum Cascade Laser for Dual Frequency Terahertz Emission";
Talk: Materials Research Society Spring Meeting (MRS),
San Francisco, USA;
2006-04-17
- 2006-04-21; in: "MRS Spring Meeting Abstracts",
(2006),
231.
-
A. M. Andrews, M. A. Kainz, H. Detz, D. MacFarland, S. Schönhuber, W. Schrenk, K. Unterrainer, G. Strasser:
"Quantum Cascade Laser and Detector Material Systems";
Talk: 68. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Graz;
2018-09-10
- 2018-09-13; in: "68. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2018),
34.
-
A. M. Andrews, M. A. Kainz, H. Detz, W. Schrenk, S. Schönhuber, K. Unterrainer, G. Strasser:
"Barrier height tuning of LO-phonon resonance for THz quantum cascade lasers";
Talk: German MBE Workshop,
Freiburg;
2018-10-11
- 2018-10-12; in: "German MBE Workshop 2018 Abstract Booklet",
(2018),
26.
-
A. M. Andrews, M. A. Kainz, S. Schönhuber, B. Limbacher, H. Detz, M Beiser, M Giparakis, W. Schrenk, G. Strasser, G. Bastard, K. Unterrainer:
"Laser Level Selection in Terahertz Quantum Cascade Lasers";
Talk: IEEE Research and Applications of Photonics in Defense (RAPID),
Miramar Beach (invited);
2019-08-19
- 2019-08-21; in: "Ieee Rapid 2019",
(2019),
Paper ID TUB4.4,
3 pages.
-
A. M. Andrews, M. A. Kainz, S. Schönhuber, B. Limbacher, H. Detz, M Beiser, M Giparakis, W. Schrenk, G. Strasser, G. Bastard, K. Unterrainer:
"Laser level Selection in Terahertz Quantum Cascade Lasers using a Magnetic Field";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
97.
-
A. M. Andrews, P. Klang, H. Detz, M. Nobile, C. Deutsch, A. Benz, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb/InP MIR and THz Quantum Cascade Lasers grown by MBE";
Talk: North American Conf. on Molecular Beam Epitaxy (NAMBE),
Colorado, USA;
2010-09-26
- 2010-09-29; in: "NAMBE",
(2010),
17.
-
A. M. Andrews, A. Lugstein, M. Schramböck, M. Steinmair, Y.J. Hyun, E. Bertagnolli, T. Müller, S. Zauner, K. Unterrainer, G. Strasser:
"Growth of GaAs Whiskers on Si Nanowires";
Talk: International Symposium on Compound Semiconductors (ISCS),
Kyoto, Japan;
2007-10-15
- 2007-10-18; in: "Final Program and Abstracts",
(2007),
272.
-
A. M. Andrews, A. Lugstein, M. Schramböck, M. Steinmair, Y.J. Hyun, E. Bertagnolli, T. Müller, S. Zauner, K. Unterrainer, G. Strasser:
"MBE Growth of GaAs Whiskers on LPCVD Si Nanowire Trunks";
Talk: North American Conf. on Molecular Beam Epitaxy (NAMBE),
Albuquerque, New Mexico;
2007-09-23
- 2007-09-26; in: "Programm and Abstracts",
(2007),
1
- 2.
-
A. M. Andrews, D. MacFarland, M. Krall, T. Zederbauer, H. Detz, W. Schrenk, M. Brandstetter, C. Deutsch, K. Unterrainer, G. Strasser:
"AlGaInAs barriers for InP-based Terahertz Quantum Cascade Lasers";
Talk: European Molecular Beam Epitaxy Workshop,
Canazei, Italien;
2015-03-15
- 2015-03-18; in: "Book of Abstracts",
(2015),
1
- 2.
-
A. M. Andrews, M. Nobile, H. Detz, P. Klang, T. Zederbauer, W. Schrenk, G. Strasser:
"Growth of Mid-infrared InGaAs/GaAsSb QCLs emitting around 11 µm";
Talk: ITQW,
Badesi, Italy;
2011-09-11
- 2011-09-17; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
A. M. Andrews, M. Nobile, C. Deutsch, P. Klang, H. Detz, A. Benz, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb/InP Material System for MIR and THz Quantum Cascade Lasers";
Talk: 60th Annual Meeting Austrian Physical Society,
Salzburg (invited);
2010-09-06
- 2010-09-10; in: "60th Annual Meeting Austrian Physical Society",
(2010),
108
- 109.
-
A. M. Andrews, T. Roch, G. Fasching, W. Schrenk, R. Zobl, K. Unterrainer, G. Strasser:
"Growth Optimization of GaAs-based Quantum Cascade Laser for Terahertz Emission";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2006-02-13
- 2006-02-17; in: "Book of Abstracts",
(2006),
148.
-
A. M. Andrews, T. Roch, M. Schramböck, M. Austerer, W. Schrenk, G. Strasser:
"Growth of InAs Quantum Dots for GaAs-based Quantum Cascade Lasers";
Talk: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Palazzone di Cortona, Italy;
2006-06-25
- 2006-06-30; in: "Programme and Abstracts",
(2006).
-
A. M. Andrews, M. Schramböck, T. Roch, M. Austerer, W. Schrenk, G. Strasser:
"InAs Quantum Dots for Intersubband Devices";
Talk: MRS Fall Meeting,
Boston, MA, USA;
2006-11-27
- 2006-12-01; in: "Program",
(2006).
-
A. M. Andrews, T. Zederbauer, D. MacFarland, H. Detz, W. Schrenk, M. Brandstetter, M. A. Kainz, M. Krall, S. Schönhuber, K. Unterrainer, G. Strasser:
"Growth of AlxIn3-xAsySB1-y for InAs-based THz Quantum Cascade Lasers";
Talk: 19th International Conference on Molecular Beam Epitaxy,
Montpellier, Frankreich;
2016-09-04
- 2016-09-09; in: "Technical Program",
(2016),
32.
-
A. M. Andrews, T. Zederbauer, D. MacFarland, H. Detz, W. Schrenk, M. Brandstetter, M. A. Kainz, M. Krall, S. Schönhuber, K. Unterrainer, G. Strasser:
"Growth of lattice-matched InAs-based intersubband devices";
Talk: German MBE Workshop,
Garching, Deutschland;
2016-10-13
- 2016-10-14; in: "German MBE Workshop",
(2016),
27.
-
A.M. Andrews, M. Austerer, C. Pflügl, M. Schramböck, T. Roch, W. Schrenk, G. Strasser:
"In_x Al_1-x As quantum dots for GaAs-based quantum cascade lasers";
Poster: 13th European Molecular Beam Epitaxy Workshop,
Grindelwald, Switzerland;
2005-03-07
- 2005-03-09; in: "Book of Abstract",
(2005),
Paper ID P-73,
1 pages.
-
A.M. Andrews, G. Fasching, T. Roch, W. Schrenk, A. Benz, R. Zobl, K. Unterrainer, G. Strasser:
"Growth and characterization of Thz quantum cascade lasers";
Talk: 23rd North American Conference on Molecular Beam Epitaxy,
Santa Barbara, California, USA;
2005-09-11
- 2005-09-14; in: "23rd North American Conference on Molecular Beam Epitaxy",
(2005),
154.
-
A.M. Andrews, P. Klang, H. Detz, A. Lugstein, M. Schramböck, M. Steinmair, Y.J. Hyun, E. Bertagnolli, K. Unterrainer, G. Bastard, W. Schrenk, G. Strasser:
"MBE Growth of GaAs Whiskers on Si Nanowires";
Talk: ICPS 2008 29th International Conference on the Physics of Semiconductors,
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01; in: "Book of Abstracts",
(2008),
49
- 50.
-
A.M. Andrews, T. Roch, A. Benz, G. Fasching, W. Schrenk, K. Unterrainer, G. Strasser:
"Optimization of MBE Growth Parameters for GaAs-based THz Quantum Cascade Lasers";
Poster: GMe Workshop 2006,
Wien;
2006-10-13; in: "GMe Workshop 2006 Proceedings",
K. Riedling (ed.);
(2006),
ISBN: 978-3-901578-17-5;
43
- 46.
-
M. Austerer, A. M. Andrews, E. Gornik, P. Klang, M. Nobile, M. Schramböck, W. Schrenk, G. Strasser:
"Quantum dots in quantum cascade lasers transport and photoconductivity";
Talk: 3rd ADLIS workshop,
Goldegg, Salzburg;
2007-02-24
- 2007-02-26; in: "program",
(2007),
2.
-
M. Austerer, D. Andrijasevic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Hybrid GaAs-Si quantum cascade lasers";
Talk: European Semiconductor Laser Workshop,
Berlin, Deutschland;
2007-09-14
- 2007-09-15; in: "Program and Abstracts",
(2007).
-
M. Austerer, L. Hoffmann, C. Hurni, S. Schartner, E. Mujagic, M. Nobile, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Y-branch coupling of mid-infrared quantum cascade lasers";
Talk: 4th ADLIS Workshop,
Obergurgl, Tirol;
2008-02-02
- 2008-02-03; in: "Program",
(2008),
1.
-
M. Austerer, C. Pflügl, S. Golka, S. Schartner, W. Schrenk, T. Roch, A. M. Andrews, G. Strasser:
"Surface Emission of Intracavity Frequency-Doubled Light from Quantum Cascade Lasers";
Talk: CLEO/QELS,
Long Beach, California, USA;
2006-05-21
- 2006-05-26; in: "Conference Programm",
(2006).
-
M. Austerer, C. Pflügl, W. Schrenk, S. Golka, G. Strasser:
"Surface-Emitting Single-Mode Quantum Cascade Lasers";
Poster: GMe Forum 2005,
Wien, Österreich;
2005-03-17
- 2005-03-18; in: "Proceedings GME Forum 2005",
(2005),
6.
-
M. Austerer, C. Pflügl, W. Schrenk, T. Roch, G. Strasser:
"Surface emitting quantum cascade laser";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Smolinice Castle, Slovakia;
2004-05-17
- 2004-05-19; in: "Proceedings of WOCSDICE 2004",
(2004),
63
- 64.
-
M. Austerer, S. Schartner, S. Golka, C. Pflügl, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser:
"Surface emitting quantum cascade lasers";
Poster: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Palazzone di Cortona, Italy;
2006-06-25
- 2006-06-30; in: "Programme and Abstracts",
(2006).
-
M. Austerer, S. Schartner, S. Golka, C. Pflügl, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser, R. Green, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Single mode quantum cascade lasers";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2006-02-13
- 2006-02-17; in: "Book of Abstracts",
(2006),
149
- 150.
-
M. Austerer, S. Schartner, L. Hoffmann, M. Nobile, A. M. Andrews, P. Klang:
"Nonlinear light generation in GaAs quantum-cascade lasers";
Poster: ITQW07,
Cumbria, U.K.;
2007-09-09
- 2007-09-14; in: "Proceedings of the Nith International Conference on Intersubband Transitions in Quantum Wells",
(2007),
36
- 37.
-
M. Austerer, S. Schartner, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Second-harmonic generation in GaAs-based quantum-cascade lasers";
Poster: 8th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices,
Bad Ischl;
2007-05-14
- 2007-05-16; in: "Programm 6 Abstracs",
(2007),
114
- 115.
-
M. Austerer, S. Schartner, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Second-harmonic generation in GaAs-based quantum-cascade lasers";
Poster: FRISNO-9, the 9th European/French Israeli Symposium on Nonlinear and Quantum Optics,
Les Houches, Frankreich;
2007-02-11
- 2007-02-16; in: "Program and Abstracts",
(2007),
1.
-
M. Austerer, S. Schartner, M. Nobile, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Vertically emitting distributed-feedback quantum-cascade lasers";
Talk: CLEO/Europe - IQEC 2007,
München, Deutschland;
2007-06-17
- 2007-06-22; in: "Advance programme",
(2007),
98.
-
M. Austerer, S. Schartner, M. Nobile, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser:
"Second-Harmonic Emission from Quantum Cascade Lasers";
Poster: GMe Workshop 2006,
Wien;
2006-10-13; in: "GMe Workshop 2006 Proceedings",
K. Riedling (ed.);
(2006),
ISBN: 978-3-901578-17-5;
35
- 38.
-
D. Auth, J. Hillbrand, G. Strasser, A. Ramdane, Q. Gaimard, B. Schwarz, S. Breuer:
"Electrical injection locking dynamics of a quantum dash frequency-comb laser";
Talk: SPIE Photonics West 2020,
San Francisco;
2020-02-01
- 2020-02-06; in: "Proceedings Volume 11301",
(2020),
Paper ID 11301-24,
1 pages.
More information
-
D. Bachmann, D. Dietze, M. Martl, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer, J. Darmo:
"Spectroscopy of coupled terahertz quantum cascade laser systems";
Poster: International Workshop on Optical Terahertz Science and Technology (OTST 2013),
Kyoto, Japan;
2013-04-01
- 2013-04-05; in: "Book of Abstracts",
(2013).
-
D. Bachmann, M. Krall, M. Brandstetter, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Progress in THz time-domain spectroscopy of double metal quantum cascade lasers";
Talk: ITQW,
New York, USA;
2013-09-15
- 2013-09-20; in: "Technical Digest",
(2013).
-
D. Bachmann, M. Krall, M. Martl, H. Detz, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Multi-cavity terahertz quantum cascade lasers";
Poster: CLEO Europe 2013,
Munich;
2013-05-12
- 2013-05-16; in: "Conference Digest",
(2013),
ISBN: 978-1-4799-0594-2;
Paper ID CC-P.3 SUN,
1 pages.
-
D. Bachmann, M. Krall, M. Martl, H. Detz, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Multi-cavity terahertz quantum cascade lasers";
Talk: International THz Conference,
Villach, Österreich;
2013-09-09
- 2013-09-10; in: "Technical Digest",
(2013),
ISBN: 978-3-85403-296-0;
2 pages.
-
D. Bachmann, M. Martl, H. Detz, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Terahertz spectroscopy of coupled cavity quantum cascade lasers";
Talk: Joint Annual Meeting of ÖPG, SPG, ÖGAA und SGAA 2013,
Linz;
2013-09-02
- 2013-09-06; in: "Technical Digest",
(2013).
-
D. Bachmann, M. Rösch, M. A. Kainz, S. Schönhuber, M. Brandstetter, G. Scalari, M. Beck, J. Faist, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Short pulse generation and high power emission of Quantum Cascade lasers";
Talk: 42nd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz),
Cancun, Mexiko (invited);
2017-08-27
- 2017-09-01; in: "Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), 2017 42nd International Conference",
IEEE,
(2017),
ISBN: 978-1-5090-6050-4;
1
- 2.
-
S. Barth, M. Seifner, M. Sistani:
"Metastable Ge-based Nanowire Materials";
Poster: Nanowire Week,
Chamonix;
2022-04-25
- 2022-04-29; in: "Nanowire Week 2022",
(2022),
68.
-
M. Bartmann, M. Sistani, S. Glassner, B Steinbauer, E. Bertagnolli, J. Smoliner:
"Strain Induced Band-Gap Modification of Ge nanowires";
Talk: Nanowire Week 2018,
Hamilton;
2018-06-11
- 2018-06-15; in: "Nanowire Week 2018",
(2018).
-
B. Basnar:
"Organic-Inorganic hybrids based on polyaniline";
Talk: Young Chemists´Workshop on Solution chemical processing of advanced materials,
Schweden;
2009-05-10
- 2009-05-13; in: "Young Chemists´Workshop on Solution chemical processing of advanced materials",
(2009).
-
B. Basnar, S. Golka, E. Gornik, S. Harasek, E. Bertagnolli, B. Löffler, M. Schatzmayer, H. Enichlmair, J. Smoliner:
"Bias dependent contrast in Scanning Capacitance Microscopy images";
Poster: STM Conference,
Vancouver;
2001-07-15
- 2001-07-20; in: "Bias dependent contrast in Scanning Capacitance Microscopy images",
(2001),
265.
-
B. Basnar, M. Litschauer, S. Abermann, E. Bertagnolli, G. Strasser, M.-A. Néouze:
"Analysing nanoparticle monolayers covalently linked to silicon substrates";
Talk: 16. Tagung Festkörperanalytik,
Wien;
2011-07-04
- 2011-07-06; in: "Kurzfassungen",
Sproinger,
(2011),
P1.
-
B. Basnar, M. Litschauer, M.-A. Néouze:
"Imidazolium units to form ionic nanoparticle networks";
Poster: ILMAT 2011 - Ionic Liquids derived Materials,
Institut Français Vienna, Austria;
2011-12-05
- 2011-12-06; in: "ILMAT 2011 - Ionic Liquids derived Materials",
(2011).
More information
-
B. Basnar, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Novel Thermal Tuning of Quantum Cascade Lasers Utilizing Thermochromic Claddings";
Talk: Cleo Iqec 2009,
Baltimore, Maryland, USA;
2009-05-31
- 2009-06-05; in: "Conference Program",
(2009),
145.
-
B. Basnar, E. Mujagic, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Light-Induced Tuning of Quantum Cascade Lasers";
Talk: CLEO 2010,
San Jose, California, USA;
2010-05-16
- 2010-05-21; in: "Book of Abstract",
(2010),
2 pages.
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, P. Souza, M. Pires, G. Strasser:
"Tuning of quantum cascade lasers using Chromic Claddings";
Talk: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01; in: "Abstracts",
(2008),
376
- 377.
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Functional Lasers - A novel Approach for Sensing and Spectroscopy";
Poster: 2. Internationale Konferenz NanoSens2008,
Vienna;
2008-09-29
- 2008-09-30; in: "Conference Ducuments",
(2008).
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Intracavity gas sensing using quantum cascade lasers with chromic transducers";
Talk: International workshop on opportunities and challenges in mid-infrared laser-based gas sensing,
Wroclaw, Poland;
2010-05-06
- 2010-05-08; in: "Book of Abstracts",
(2010),
39
- 40.
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Reversible Switching of QCL-Modes Using a pH-Responsive Polymeric Cladding";
Talk: CLEO/QELS,
San Jose, California, USA;
2008-05-04
- 2008-05-09; in: "IEEE Xplore",
(2008),
2 pages.
-
B. Basnar, S. Schartner, M. Austerer, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Reversible switching of quantum cascade laser-modes using a pH-resoponsive polymeric cladding as transducer";
Poster: 58. Jahrestagung der Österreichischen Physikalischen Gesellschaft (ÖPG),
Leoben;
2008-09-22
- 2008-09-26; in: "58. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2008),
81.
-
B. Basnar, Y. Weizmann, Z. Cheglakov, I. Willner:
"Controlling the size of nanoparticles by self-inhibited biocatalytic enlargement";
Talk: Young Chemists´ Workshop Surface Chemistry of Nanomaterials,
Wien;
2008-05-15
- 2008-05-16; in: "Young Chemists´ Workshop",
(2008),
22.
-
K. Becker, G. Schneider, M. Eder, A. Ranft, E. Kochs, W. Zieglgänsberger, H. Dodt:
"Anaesthesia monitoring by recurrence quantification analysis of EEG data";
Poster: 7th Fens Forum of European Neuroscience,
Amsterdam;
2010-07-03
- 2010-07-07; in: "7th Fens Forum of European Neuroscience",
(2010).
-
M Beiser, M Giparakis, H. Knötig, H. Detz, B. Schwarz, A. M. Andrews, G. Strasser:
"Strain Balancing for InAs Based ICL growth";
Talk: 21st International Conference on Molecular Beam Epitaxy (ICMBE),
virtuell;
2021-09-06
- 2021-09-09; in: "21st International Conference on Molecular Beam Epitaxy (ICMBE)",
(2021),
Paper ID OSMAw ConfCode 51,
3 pages.
-
M Beiser, J. Hillbrand, A. M. Andrews, R. Weih, S. Höfling, G. Strasser, B. Schwarz:
"Interband Cascade Laser Frequency Comb generation and high- speed detection";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
92.
-
M Beiser, J. Hillbrand, A. M. Andrews, R. Weih, S. Höfling, G. Strasser, B. Schwarz:
"Picosecond pulses in Interband Cascade Lasers";
Poster: Online Conference - International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
2020-09-07
- 2020-09-10; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
-
M Beiser, J. Hillbrand, S. Dal Cin, H. Detz, A. M. Andrews, G. Strasser, B. Schwarz:
"QWIPs and QCDs for Mid-Infrared Frequency Combs";
Poster: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
2018-09-02
- 2018-09-07; in: "Book of Abstracts",
(2018),
2 pages.
-
M Beiser, J. Hillbrand, H. Detz, A. M. Andrews, A. Schade, G. Strasser, S. Höfling, B. Schwarz:
"Mode-locked interband cascade lasers";
Talk: 68. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Graz;
2018-09-10
- 2018-09-13; in: "68. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2018),
36
- 37.
-
M Beiser, N Opacak, B. Schwarz, J. Hillbrand, G. Strasser:
"Engineering the spectral bandwidth of quantum cascade laser frequency combs";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
55.
-
M Beiser, B. Schwarz, M. Holzbauer, H. Detz, A. M. Andrews, G. Strasser:
"Bi-functional Quantum Cascade Detectors/Lasers";
Poster: Austrian MBE Workshop 2017,
Wien;
2017-09-28
- 2017-09-29; in: "Austrian MBE Workshop",
(2017),
56.
-
E. Benveniste, A. Vasanelli, A. Leuliet, J. Devenson, R. Teissier, A. Baranov, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser, C. Sirtori:
"Experimental and theoretical study of Intersubband electroluminescent diodes based on differenct materials systems";
Poster: ITQW07,
Cumbria, U.K.;
2007-09-09
- 2007-09-14; in: "Proceedings of the Ninth International Conference on Intersubband Transitions in Quantum Wells",
(2007),
47
- 48.
-
A. Benz, M. Brandstetter, C. Deutsch, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Superconducting waveguides for terahertz quantum-cascade laser";
Talk: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
2011-03-13
- 2011-03-17; in: "International Workshop on Optical Terahertz Science and Technology-Workshop Program",
(2011),
Paper ID MF39,
1 pages.
-
A. Benz, M. Brandstetter, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Upper band operation of active photonic crystal terahertz lasers";
Talk: SPIE Photonics West 2012,
San Franciso, California, USA;
2012-01-21
- 2012-01-26; in: "Technical Program",
(2012).
-
A. Benz, M. Brandstetter, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"THz photonic crystal quantum-cascade lasers: Frequency tuning during lasing operation";
Poster: 35th International Conference on Infrared, Millimeter and Terahertz Waves,
Rome, Italien;
2010-09-05
- 2010-09-10; in: "Book of Abstracts",
(2010),
ISBN: 978-1-4244-6656-6;
2 pages.
-
A. Benz, M. Brandstetter, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"THz quantum-cascade lasers with superconducting waveguides";
Poster: 35th International Conference on Infrared, Millimeter and Terahertz Waves,
Rome, Italien;
2010-09-05
- 2010-09-10; in: "Book of Abstracts",
(2010),
ISBN: 978-1-4244-6656-6;
2 pages.
-
A. Benz, M. Brandstetter, C. Deutsch, K. Unterrainer, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"novel double-metal resonators for THz quantum-cascade lasers";
Talk: TeraNano 2011 & GDR-I THz 2011,
Osaka, Japan (invited);
2011-11-24
- 2011-11-29; in: "Technical Program",
(2011),
140
- 141.
-
A. Benz, S. Campione, M. Krall, S. Schwarz, D. Dietze, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, J. Klem, B. Sinclair, E. A. Shaner, F. Capolino, K. Unterrainer, I. Brener:
"Metamaterials in Applications: Tunable Filters and Resonant Detectors";
Talk: 4th International Topical Meeting on Nanophotonics and Metamaterials (Nanometa 2013),
Seefeld, Tirol (invited);
2013-01-03
- 2013-01-06; in: "Conference Digest",
(2013),
ISBN: 2-914771-81-9.
-
A. Benz, C. Deutsch, M. Brandstetter, A. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser, K. Unterrainer:
"Active photonic crystal terahertz laser operating in upper bands";
Talk: CLEO Europe 2011,
Munich, Germany;
2011-05-22
- 2011-05-26; in: "EQEC 2011 - Conference Digest",
(2011),
ISBN: 978-1-4577-0532-8;
Paper ID CC1.4 SUN,
1 pages.
-
A. Benz, C. Deutsch, M. Brandstetter, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser, K. Unterrainer:
"Higher band lasing of active photonic crystal terahertz QCLs";
Poster: ITQW,
Badesi, Italy;
2011-09-11
- 2011-09-17; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
A. Benz, C. Deutsch, M. Brandstetter, K. Unterrainer, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser:
"Active photonic crystal terahertz laser operating in higher bands";
Talk: CLEO 2011,
Baltimore, USA;
2011-05-01
- 2011-05-06; in: "Technical Digest",
(2011),
ISBN: 978-1-55752-910-7;
Paper ID CThE3,
2 pages.
-
A. Benz, C. Deutsch, G. Fasching, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Performance limitations in unipolar terhertz lasers";
Poster: 57. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Donau-Universität Krems, Austria;
2007-09-24
- 2007-09-28; in: "Tagungsprogramm",
(2007),
99
- 100.
-
A. Benz, C. Deutsch, G. Fasching, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser, T. Kubis, P. Vogl:
"Current and gain in terahertz quantum cascade lasers";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
2008-02-18
- 2008-02-22; in: "Abstracts",
(2008),
158.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Frequency control of active photonic crystal quantum cascade laser";
Poster: 2nd International Workshop TeraTech,
Osaka Univeristy, Japan;
2009-11-30
- 2009-12-03; in: "Proceedings of 2nd International Workshop TeraTech",
(2009),
229
- 230.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Gain photonic crystal resonators for terahertz qauntum-cascade lasers";
Talk: European Semiconductor Laser Workshop,
Vienna University of Technology-Austria, Wien;
2009-09-25
- 2009-09-26; in: "Book of Abstracts",
(2009),
16.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Gain photonic crystal resonators for THz qauntum-cascade lasers";
Poster: DPG Spring Meeting,
Regensburg, Deutschland;
2010-03-21
- 2010-03-26; in: "Verhandlungen der Deutschen Physikalischen Gesellschaft",
(2010),
ISSN: 0420-0195;
382.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Gain Photonic Crystal Terahertz Quantum-Cascade Lasers";
Talk: IEEE Winter Topicals 2010,
Majorca, Spain (invited);
2010-01-11
- 2010-01-13; in: "Proceedings of IEEE Winter Topicals 2010",
IEEE,
(2010),
18
- 19.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Monolithic photonic crystal quantum-cascade laser";
Talk: 16th International Conference on Electron Dynamics In Semiconductors, Optoelectronics and Nanostructures,
Montpellier, Le Corum;
2009-08-24
- 2009-08-28; in: "Book of Abstracts",
(2009),
278
- 282.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Online Tuning of Active Photonic Crystal Quantum-Cascade Lasers";
Talk: CLEO/Europe-EQEC 2009,
München, Deutschland;
2009-06-14
- 2009-06-19; in: "Book of Abstracts",
(2009),
ISBN: 978-1-4244-4080-1;
CK 9.2.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Frequency Control in Terahertz Lasers";
Poster: GMe Forum 2008,
Wien;
2008-11-13
- 2008-11-14; in: "GMe Forum 2008 - Proceedings of the Seminar at the Vienna University Of Technology",
Gesellschaft für Mikro- und Nanoelektronik,
Wien
(2009),
ISBN: 978-3-901578-20-5;
69
- 72.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Mode Quantum-Cascade Lasers";
Talk: International Quantum Cascade Lasers School & Workshop,
Monte Verita, Ascona, Switzerland;
2008-09-14
- 2008-09-19; in: "Book of Abstracts",
(2008),
47
- 48.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Resonator tuning of active photonic crystal quantum-cascade laser";
Talk: The 10th International Conference on Intersubband Transitions in Quantum Wells,
Montreal, Cananda;
2009-09-06
- 2009-09-11; in: "Book of Abstracts",
(2009),
82
- 83.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Slow light terahertz quantum-cascade laser";
Talk: International Workshop on Optical Terahertz Science and Technology (OTST 2009),
Fess Parkers Doubletree Resort, Santa Barbara, California, USA;
2009-03-07
- 2009-03-11; in: "Book of Abstracts",
(2009),
MC5.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Terahertz microresonators based on gain photonic crystals";
Poster: Informationstagung Mikroelektronik ME10,
Wien, Österreich;
2010-04-07
- 2010-04-08; in: "Tagungsband",
OVE Österreichischer Verband für Elektrotrechnik,
56
(2010),
ISBN: 978-3-85133-055-7;
78
- 81.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Tuning of active photonic crystal THz quantum-cascade lasers";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "Book of Abstracts",
(2010),
186
- 187.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Tuning of Photonic Crystal Quantum-Cascade Lasers";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
2010-08-30
- 2010-09-03; in: "Book of Abstracts",
(2010),
2 pages.
-
A. Benz, C. Deutsch, W. Parz, G. Fasching, T. Müller, J. Darmo, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Thz Quantum Cascade Lasers: Confinement and Dynamics";
Talk: International Workshop on Terahertz Spectroscopy and its high-field applications,
Dresden-Rossendorf, Deutschland (invited);
2010-06-14
- 2010-06-15; in: "Book of Abstract",
(2010),
22.
-
A. Benz, G. Fasching, A.M. Andrews, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"Photonic crystals with a complete bandgap for TM-modes used as resonators for terahertz quantum-cascade lasers";
Poster: 386. WE-Heraeus-Seminar Computational Nano-Photonics,
Physikzentrum Bad Honnef, Germany;
2007-02-26
- 2007-02-28; in: "Book of Abstracts",
(2007),
5.
-
A. Benz, G. Fasching, A.M. Andrews, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"Photonic crystals with a complete bandgap for TM-modes used as resonators for terahertz quantum-cascade lasers";
Talk: CLEO/Europe - IQEC 2007,
Munich, Germany;
2007-06-17
- 2007-06-22; in: "Conference Digest",
(2007),
ISBN: 1-4244-0931-4;
1 pages.
-
A. Benz, G. Fasching, A.M. Andrews, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"The influence of doping on the performance of terahertz quantum-cascade-lasers";
Talk: OSA Topical Meetings: Optical Terahertz Science and Technology,
Orlando, Florida, USA;
2007-03-18
- 2007-03-21; in: "Technical Digest, Proceedings",
(2007),
ISBN: 1-55752-837-3;
3 pages.
-
A. Benz, G. Fasching, A.M. Andrews, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"The influence of doping on the performance of THz-QCLs";
Talk: Physics of Intersubband Semiconductor Emitters, Summer School,
Cortona, Italy;
2006-06-25
- 2006-06-30; in: "Programme and Abstracts",
(2006),
2.
-
A. Benz, G. Fasching, C. Deutsch, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Effects of doping on terhertz quantum-cascade lasers";
Talk: IRMMW-THz 2007,
Cardiff, UK;
2007-09-03
- 2007-09-07; in: "Conference Digest",
Vol 1, 07EX1863
(2007),
ISBN: 1-4244-1438-5;
949
- 950.
-
A. Benz, G. Fasching, C. Deutsch, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Photonic crystals used as resonators for terahertz quantum-cascade lasers";
Talk: ITQW07,
Leeds, UK;
2007-09-09
- 2007-09-14; in: "The Proceedings of The Ninth International Conference on Intersubband Transitions in Quantum Wells",
(2007),
2 pages.
-
A. Benz, G. Fasching, C. Deutsch, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Quantum-Cascade Photonic Crystal Laser";
Talk: 33rd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW),
Pasadena, California, Usa;
2008-09-15
- 2008-09-19; in: "Conference Guide",
(2008),
69.
-
A. Benz, G. Fasching, C. Deutsch, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Terahertz Photonic Crystals";
Poster: CLEO/QELS and PhAST 2008,
San Jose, California, USA;
2008-05-04
- 2008-05-09; in: "Technical Digest",
(2008),
JWA38.
-
A. Benz, G. Fasching, C. Deutsch, A.M. Andrews, K. Unterrainer, P. Klang, W. Schrenk, G. Strasser:
"Tunable photonic crystal mode quantum cascade lasers";
Talk: ICPS 2008 29th International Conference on the Physics of Semiconductors,
Rio de Janeiro, Brazil (invited);
2008-07-27
- 2008-08-01; in: "Book of Abstracts",
(2008),
47
- 48.
-
A. Benz, G. Fasching, K. Unterrainer, R. Zobl, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Quantum-Mechanical designed Terahertz Laser";
Poster: Junior Scientist Conference 2006,
Vienna, University of Technology, Wien;
2006-04-19
- 2006-04-21; in: "Proceedings",
(2006),
ISBN: 3-902463-05-8;
91
- 92.
-
T. Berber, G. Pillwein, G. Brunthaler, G. Strasser:
"Fabrication of AlGaAs Nanostructures";
Poster: GMe Forum 2001,
Wien;
2001-04-05
- 2001-04-06; in: "Fabrication of AlGaAs Nanosturctures",
(2001),
ISBN: 3-901578-07-2;
159.
-
E. Bertagnolli:
"Functional Nanostructure Formation By Focused Particle Beams";
Talk: Trends in Nanotechnology Conference (TNT),
Oviedo (Spain) (invited);
2008-09-01
- 2008-09-05; in: "Abstracts",
(2008),
2 pages.
-
E. Bertagnolli:
"Nanopattern Formation with Focused Ion Beams";
Talk: 16th International Workshop on Inelastic Ion-Surface Collisions (IISC-16),
Hernstein (invited);
2006-09-17
- 2006-09-22; in: "Book of Abstracts",
(2006),
95.
-
O. Bethge, S. Abermann, C. Henkel, E. Bertagnolli:
"Al2O3/ZrO2/Al2O3 High-k Dielectric Stacks on Germanium Substrates Grown by Atomic Layer Deposition at High and Low Temperatures";
Talk: Pacific Rim Meeting on Electrochemical and Solid-State Science,
Honolulu, HI;
2008-10-12
- 2008-10-17; in: "Abstracts",
(2008).
-
O. Bethge, S. Abermann, C. Henkel, E. Bertagnolli:
"Electrical characteristics of ALD Al2O3/ZrO2/Al2O3 high-k dielectric stacks";
Poster: Workshop on Dielectrics in Microelectronics (WODIM),
Berlin, Deutschland;
2008-06-23
- 2008-06-25; in: "WoDiM 2008",
(2008),
125
- 126.
-
O. Bethge, S. Abermann, C. Henkel, C. Straif, E. Bertagnolli:
"Atomic Layer Deposition of High-k Gate Dielectrics on Germanium and Silicon Substrates";
Poster: GMe Forum 2008,
Wien;
2008-11-13
- 2008-11-14; in: "Abstracts",
(2008),
16.
-
O. Bethge, B. Lutzer, J. Kaur, E. Bertagnolli:
"Pathways for lowering the Interface Trap Density in Ge based MOS Devices";
Talk: EMN Cancun Meeting,
Cancun, Mexico (invited);
2015-06-08
- 2015-06-11; in: "Program&Abstracts",
(2015),
68
- 69.
-
O. Bethge, B. Lutzer, J. Kaur, E. Bertagnolli:
"Regrowth of GeO2 in between Atomic Layer Deposited high-k oxides and Ge surfaces for improving the interface trap density";
Talk: Baltic ALD,
Tartu, Estonia;
2015-09-28
- 2015-09-29; in: "Program and Abstracts",
(2015),
37.
-
O. Bethge, C. Zimmermann, B. Lutzer, C. Henkel, E. Bertagnolli:
"ALD-grown Rare Earth Oxides: Effective Passivation of the Germanium Channel in MOS devices";
Talk: International Conference on Small Science (ICSS),
Las Vegas, Nevada, USA (invited);
2013-12-15
- 2013-12-18; in: "ICSS 2013 Prgram & Abstracts",
(2013),
218
- 219.
-
O. Bethge, C. Zimmermann, B. Lutzer, S. Simsek, S. Abermann, E. Bertagnolli:
"ALD Grown Rare-Earth High-k Oxides on Ge: Lowering of the Interface Trap Density and EOT Scalability";
Talk: 226th Meeting of the Electrochemical Society,
Cancun, Mexiko;
2014-10-05
- 2014-10-09; in: "Semiconductors, Dielectrics, and Metals for Nanoelectronics 12",
(2014),
71.
-
J. Bevk, G. Hobler, D.C. Jacobson, W.M. Mansfield, J. Jackson:
"Dopant profiles in dual-poly gates with buried ultra-low-energy implants";
Talk: International Conference on Electronic Materials,
Charlottesville;
1999-07-01; in: "40th Electronic Materials Conf. Tech. Progr.",
(1999),
8.
-
J. Bevk, S. Kuehne, H. Vaidya, W.M. Mansfield, G. Hobler:
"Buried ultra-low-energy gate implants for sub 0.25micron CMOS technology";
Talk: VLSI,
Berlin;
1998-07-01; in: "Proceeding Symp. VLSI Technology",
(1998),
74
- 75.
-
M. Bina, O. Triebl, B. Schwarz, M. Karner, B. Kaczer, T. Grasser:
"Simulation of Reliability on Nanoscale Devices";
Talk: International Conference on Simulation of Semiconductor Processes and Devices (SISPAD),
Denver, CO, USA;
2012-09-05
- 2012-09-07; in: "Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD)",
(2012),
ISBN: 978-0-615-71756-2;
109
- 112.
-
J. Bintinger, R. Bittner, S. Yang, O. Bethge, H. Hoffmann, T. Kröber, H. Mikula, A. Foelske-Schmitz, K. Hradil, W. Knoll, I. Kymissis, J. Fröhlich:
"Self-assembled monolayer field-effect transistors (SAMFETs): A new synthetic approach for sensing applications";
Poster: 12th International Symposium on Functional π-Electron Systems,
University of Washington, Seattle, USA;
2015-07-19
- 2015-07-24; in: "Fπ-12 Program with links to Abstracts (web page)",
http://depts.washington.edu/uwconf/fpi12/fpi12_abstracts.html,
(2015),
Paper ID http://depts.washington.edu/uwconf/fpi12/439.html.
-
M. Blaho, D. Pogany, E. Gornik, M. Denison, G. Groos, M. Stecher:
"Investigation of the internal behavior of a vertical DMOS transistor under short duration, high current stress by an optical thermal mapping method";
Talk: International Seminar on Power Semiconductors (ISPS),
Prague;
2002-09-04
- 2002-09-06; in: "Proc. of 6th Int. Seminar on power semiconductors",
M. Blaho, D. Pogany, E. Gornik, M. Denison, G. Groos, M. Stecher (ed.);
(2002),
63
- 67.
-
M. Blaho, D. Pogany, E. Gornik, L. Zullino, E. Morena, R. Stella, A. Andreini, H. Wolf, H. Gieser:
"Internal Behavior of BCD ESD Protection Devices under Very-Fast TLP Stress";
Talk: International Reliability Physics Symposium (IRPS),
Dallas, USA;
2003-03-30
- 2003-04-04; in: "Proceedings of IRPS`03",
(2003),
ISBN: 0780376498;
235
- 240.
-
R Böckle, A. Lugstein, B. Rellinghaus, B. Lipovec, D. Pohl, M. Sistani, W. Weber:
"Top-down Fabricated Ge-based Reconfigurable FETs";
Talk: European Congress and Exhibition on Advanced Materials and Processes (EUROMAT),
VIRTUAL;
2021-09-13
- 2021-09-17; in: "EUROMAT 2021",
(2021),
Paper ID 278,
1 pages.
-
R Böckle, M. Sistani, M. Bartmann, A. Lugstein, W. Weber:
"Bias-Switchable Photoconductance in a Nanoscale Ge Photodetector Operated in the Negative Differential Resistance Regime";
Poster: Nanowire Week,
Chamonix;
2022-04-25
- 2022-04-29; in: "Nanowire Week 2022",
(2022),
88.
-
R Böckle, M. Sistani, Z. Sadre-Momtaz, M. den Hertog, A. Lugstein, W. Weber, D. Pogany:
"Low-frequency Noise in Room-temperature quasi-ballistic Ge NW Transistors";
Poster: Nanowire Week,
Chamonix;
2022-04-25
- 2022-04-29; in: "Nanowire Week 2022",
(2022),
20.
-
R. Boschke, D. Linten, G. Hellings, S. Chen, M. Scholz, J. Mitard, H. Mertens, L. Witters, J. Van Campenhout, P. Verheyen, D. Pogany, G Groeseneken:
"ESD Characterization of Germanium diodes";
Talk: EOS/ESD Symposium,
Tucson, USA;
2014-09-07
- 2014-09-12; in: "Proc. Electrical Overstress/Electrostatic Discharge Symposium (EOS/ESD), 2014 36th",
IEEE,
(2014),
ISSN: 0739-5159;
1
- 9.
-
W. Boxleitner, C. Rauch, G. Strasser, L. Hvozdara, E. Gornik, U. Meirav, V. Umansky, H. Shtrikman:
"Electron dynamics and band structure in high quality GaAs/AlGaAs superlattices";
Talk: International Conference on Physics of Semiconductor (ICPS),
Berlin;
1996-07-21; in: "Proceedings ICPS 23",
World Scientific,
3
(1996),
1687.
-
M. Brandstetter, A. Benz, C. Deutsch, K. Unterrainer, P. Klang, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser:
"Superconducting waveguides for terahertz quantum cascade lasers";
Talk: CLEO Europe 2011,
Munich, Germany;
2011-05-22
- 2011-05-26; in: "EQEC 2011 - Conference Digest",
(2011),
ISBN: 978-1-4577-0532-8;
Paper ID CC.P.4 SUN,
1 pages.
-
M. Brandstetter, A. Benz, C. Deutsch, K. Unterrainer, P. Klang, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser:
"Superconducting waveguides for THz quantum cascade lasers";
Talk: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
2011-01-19
- 2011-01-20; in: "Conference Digest",
(2011),
1 pages.
-
M. Brandstetter, A. Benz, C. Deutsch, K. Unterrainer, P. Klang, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser:
"THz Quantum Cascade Lasers with Superconducting Double-Metal Waveguides";
Poster: GMe Forum 2011,
Vienna, Austria;
2011-04-14
- 2011-04-15; in: "Proceedings of the GMe Forum 2011",
(2011),
ISBN: 978-3-901578-23-6;
1 pages.
-
M. Brandstetter, A. Benz, C. Deutsch, K. Unterrainer, P. Klang, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser:
"THz quantum cascade lasers with superconducting niobium double-metal waveguides";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
2010-08-30
- 2010-09-03; in: "Book of Abstracts",
(2010),
1
- 2.
-
M. Brandstetter, C. Deutsch, A. Benz, G. Cole, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz quantum cascade lasers with wafer bonded active regions";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
2012-09-02
- 2012-09-06; in: "International Quantum Cascade Lasers School & Workshop 2012",
(2012),
ISBN: 978-3-901578-24-3.
-
M. Brandstetter, C. Deutsch, A. Benz, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Lasers with Wafer Bonded Active Regions";
Poster: GMe Forum 2013,
Vienna;
2013-06-06
- 2013-06-07; in: "Proceedings of the GMe Forum 2013",
(2013),
ISBN: 978-3-901578-27-4.
-
M. Brandstetter, C. Deutsch, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Increasing the active region/waveguide thickness of terahertz quantum cascade lasers using a direct wafer bonding technique";
Talk: International THz Conference,
Villach, Österreich;
2013-09-09
- 2013-09-10; in: "Technical Digest",
(2013),
ISBN: 978-3-85403-296-0;
2 pages.
-
M. Brandstetter, C. Deutsch, M. Krall, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High Performance THz Quantum Cascade Lasers with Wafer Bonded Symmetric Active Regions";
Talk: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Policoro;
2014-09-07
- 2014-09-14; in: "Technical Digest",
(2014).
-
M. Brandstetter, C. Deutsch, M. Krall, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High-power THz quantum cascade lasers";
Talk: 2015 High Power Diode Lasers & Systems Conference (HPD),
Coventry, United Kingdom (invited);
2015-10-14
- 2015-10-15; in: "Proceedings of the 2015 High Power Diode Lasers & Systems Conference (HPD)",
IEEE,
IEEE Catalog Number: CFP1571I-PRT
(2015),
ISBN: 978-1-4673-9177-1;
5
- 6.
-
M. Brandstetter, C. Deutsch, M. Krall, S. Schönhuber, M. A. Kainz, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High Power THz Quantum Cascade Lasers";
Talk: 7th EPS-QEOD Europhoton Conference (EUROPHOTON 2016),
Wien;
2016-08-21
- 2016-08-26; in: "Europhysics Conference Abstract Volume 40 B",
(2016),
ISBN: 979-10-96389-00-1;
Paper ID PO-3.15,
1 pages.
-
M. Brandstetter, M. Janits, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Coupling of THz quantum cascade lasers";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
2012-02-12
- 2012-02-17; in: "Proceedings of 17th International Winterschool on New Developments in Solid State Physics",
(2012).
-
M. Brandstetter, M. Janits, C. Deutsch, M. Martl, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Coupled microdisk THz quantum cascade lasers";
Talk: EOS Topical Meeting on Terahertz & Science Technology (TST 2012),
Prag;
2012-06-17
- 2012-06-20; in: "Technical Digest",
(2012),
ISBN: 978-3-9815022-1-3;
Paper ID 5406,
2 pages.
-
M. Brandstetter, M. Janits, C. Deutsch, M. Martl, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Coupling of THz quantum cascade lasers with microdisk cavities";
Talk: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
2012-06-26
- 2012-06-27; in: "Technical Digest",
(2012).
-
M. Brandstetter, M. Krall, C. Deutsch, S. Schönhuber, D. MacFarland, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Alternative Material Systems for High Performance THz Quantum Cascade Lasers";
Talk: ITQW,
Wien;
2015-09-06
- 2015-09-11; in: "Technical Digest",
(2015).
-
M. Brandstetter, M. Krall, M. A. Kainz, S. Schönhuber, C. Deutsch, T. Zederbauer, A. M. Andrews, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Lasers with low effective mass active region";
Talk: IEEE Photonics Conference,
Waikoloa, Hawaii, USA (invited);
2016-10-02
- 2016-10-06; in: "IEEE Photonics Conference (IPC) 2016",
(2016),
ISBN: 978-1-5090-1906-9;
70
- 71.
-
M. Brandstetter, M. Liertzer, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, S. Rotter:
"Exceptional points in coupled microdisk THz quantum cascade lasers";
Talk: CLEO Europe 2013,
Munich;
2013-05-12
- 2013-05-16; in: "Conference Digest",
(2013),
ISBN: 978-1-4799-0594-2;
Paper ID CB/CC-1.3 MON,
1 pages.
-
M. Brandstetter, M. Liertzer, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, S. Rotter:
"Investigating exceptional points with coupled microdisk THz quantum cascade lasers";
Talk: ITQW,
New York, USA;
2013-09-15
- 2013-09-20; in: "Technical Digest",
(2013).
-
R. Bratschitsch, R. Kersting, G. Strasser, K. Unterrainer, W. Fischler, R.A. Höpfel:
"THz emission of coherent plasmons in semiconductor superlattices";
Talk: CLEO/QELS,
Baltimore;
1999-09-01; in: "Technical Digest",
(1999),
221.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Coherent THz emission from optically pumped parabolic quantum wells";
Talk: CLEO/QELS 2000,
San Francisco, USA;
2000-05-07
- 2000-05-12; in: "CLEO/QELS ´2000 Technical Digest",
(2000),
254.
-
R. Bratschitsch, T. Müller, G. Strasser, K. Unterrainer:
"Coherent Terahertz Emission form Optically Pumped Parabolic Quantum Wells";
Poster: GMe Forum 2001,
Wien;
2001-04-05
- 2001-04-06; in: "Coherent Terahertz Emission from Optically Pumped Parabolic Quantum Wells",
(2001),
ISBN: 3-901578-07-2;
107.
-
A. Brezina, E. Engleder, M. Wirth, H. D. Wanzenböck, E. Bertagnolli:
"Permeability Surveillance system for epithelial cell monolayers";
Poster: International Meeting on Substrate-Integrated Microelectrode Arrays,
Reutlingen, Deutschland;
2012-07-10
- 2012-07-13; in: "Proceedings MEA Meeting 2012",
(2012),
344
- 345.
-
W. Brezna, B. Basnar, S. Golka, H. Enichlmair, J. Smoliner:
"Calibrated Scanning Capacitance Microscopy for Two-Dimensional Carrier Mapping of n-type Implants in p-doped Si-Wafers";
Talk: International Conference on the Physics of Semiconductors,
Flagstaff, USA;
2004-07-26
- 2004-07-30; in: "Proc. 27th International Conference on the Physics of Semiconductors",
Proc. 27th International Conference on the Physics of Semiconductors,
1
(2005),
1275
- 1278.
-
W. Brezna, T. Roch, G. Strasser, J. Smoliner:
"Quantitative Scanning Capacitance Spectroscopy on GaAs and InAs Quantum Dots";
Poster: GMe Forum 2005,
Wien, Österreich;
2005-03-17
- 2005-03-18; in: "Proceedings GME Forum 2005",
(2005),
ISBN: 3-901578-15-3;
115
- 121.
-
E. Burian, D. Pogany, T. Lalinsky, S. Hascik, Z. Mozolova:
"Simulation and characterization of thermal properties of GaAs micromachined power sensor";
Talk: HEAD Workshop,
Smolenice Castle, Slovakia;
1997-10-14; in: "Proc. of HEAD ´97 Workshop",
(1997),
16.
-
B. Busetti, B. Lutzer, J. Stampfl:
"Development of a hybrid exposure system for lithography-based additive manufacturing technologies";
Talk: SPIE Photonics West - LASE,
San Francisco;
2018-01-27
- 2018-02-01; in: "SPIE Proceedings Vol. 10523: Laser 3D Manufacturing V",
SPIE Digital Library,
1052305
(2018),
ISBN: 9781510615311;
1
- 13.
More information
-
S. Bychikhin, V. Dubec, J. Kuzmik, J. Würfl, P. Kurpas, J.-P. Teyssier, D. Pogany:
"Current Gain Collapse in HBTs Analysed by Transient Interferometric Mapping Method";
Poster: European Microwave Integrated Circuits Conference,
München, Deutschland;
2007-10-08
- 2007-10-12; in: "Proceedings of the 2nd European Microwave Integrated Circuits Conderence",
(2007),
28
- 31.
-
S. Bychikhin, V. Dubec, M. Litzenberger, D. Pogany, E. Gornik, G. Groos, K. Esmark, W. Stadler, H. Gieser, H. Wolf:
"Investigation of ESD protection elements under high current stress in CDM-like time domain using backside laser interferometry";
Talk: EOS/ESD Symposium 2002,
Charlotte, USA;
2002-10-08
- 2002-10-10; in: "Proc. 24th Electrical Overstress/ Electrostatic Discharge Symposium",
(2002),
387
- 395.
-
S. Bychikhin, R. Ferreyra, C. Ostermaier, G. Pozzovivo, J. Kuzmik, M. Coquelin, M. Alomari, E. Kohn, M. di Forte-Poisson, S. Delage, G. Strasser, D. Pogany:
"Investigation of nanosecond-time-scale dynamics of electric field distribution and breakdown phenomena in InAlN/GaN TLM structures";
Talk: European Workshop on Heterostructure Technology,
Ulm, Deutschland;
2009-11-02
- 2009-11-04; in: "HETECH 2009",
(2009),
49
- 50.
-
S. Bychikhin, M. Litzenberger, P. Kamvar, D. Pogany, E. Gornik, G. Groos, M. Stecher:
"Laser Inteferometric Mapping of Smart Power ESD Protection Devices with Different Blocking Capabilities";
Talk: European Solid-State Device Research Conference (ESSDERC),
Nürnberg, Germany;
2001-09-11
- 2001-09-13; in: "Laser Inteferometric Mapping of Smart Power ESD Protection Devices with Different Blocking Capabilit",
(2001),
231
- 234.
-
S. Bychikhin, L.K.J. Vandamme, J. Kuzmik, G. Meneghesso, S. Levada, E. Zanoni, D. Pogany:
"Accelerated Aging of GaN Light Emitting Diodes Studied by 1/f and RTS Noise";
Talk: International Conference on Noise and Fluctuations (ICNF),
Salamanca, Spanien;
2005-09-19
- 2005-09-23; in: "18th International Conference on Noise and Fluctuations (ICNF)",
(2005),
709
- 712.
-
S. Bychikhin, L.K.J. Vandamme, J. Kuzmik, G. Meneghesso, D. Pogany:
"Low frequency noise characterization of the GaN LEDs";
Talk: 5th International Conference on Advanced Semiconductor Devices and Microsystems,
Smolenice Castle, Slovakia;
2004-10-17
- 2004-10-21; in: "IEEE Proceedings of ASDAM 2004",
(2004),
85
- 86.
-
M. Capriotti, A. Alexewicz, O. Bethge, D. Visalli, J. Derluyn, C. Fleury, E. Bertagnolli, D. Pogany, G. Strasser:
"AlGaN/GaN MOSHEMTS with selective removal of In-Situ Grown SiN Passivation";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Warnemünde, Deutschland;
2013-05-26
- 2013-05-29; in: "WOCSDICE 2013",
(2013),
67
- 68.
-
M. Capriotti, C. Fleury, O. Bethge, M. Rigato, S. Lancaster, D. Pogany, G. Strasser:
"E-mode AlGaN/GaN True-MOS, with High-k ZrO2 Gate Insulator";
Talk: European Solid-State Device Research Conference (ESSDERC),
Graz;
2015-09-14
- 2015-09-18; in: "Proceedings of the ESSDERC",
(2015),
ISBN: 978-1-4673-7134-6;
60
- 63.
-
M. Capriotti, C. Fleury, C. Ostermaier, G. Strasser, D. Pogany:
"Interaction Between the Instrinsic Frequency Response of the III-N Barrier Layer and Interface States in III-N MIS-HEMTs in Spill-Over Regime";
Talk: Compound Semiconductor Week (CSW),
Santa Barbara;
2015-06-28
- 2015-07-02; in: "2015 Compound Semiconductor Week",
(2015),
1
- 2.
-
E. Castellano, G. Marschick, J. Tamayo-Arriola, J. Yeste, M. Bajo, V. Munoz-Sanjose, G. Strasser, B. Hinkov, A. Hierro:
"Hybrid plasmon-phonon surface modes at CdZnO-sapphire interfaces: Grating coupling and applications";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
69.
-
N. Cazier, P. Sadeghi, M. Shawrav, A. Steiger-Thirsfeld, S. Schmid:
"Gap plasmon resonance in electromagnetically-actuated nanomechanical silicon nitride strings";
Poster: Microelectronic Systems Symposium (MESS18),
Wien;
2018-04-12
- 2018-04-13; in: "MESS 18 Microelectronic Systems Symposium",
OVE,
Band 91
(2018),
978-3-903249-01-0;
1 pages.
More information
-
N. Cazier, P. Sadeghi, M. Shawrav, A. Steiger-Thirsfeld, S. Schmid:
"Gap Plasmon Resonance in Electromagnetically-Actuated Nanomechanical Silicon Nitride Strings";
Talk: 44th International Conference on Micro and Nanoengineering (MNE),
Copenhagen;
2018-09-24
- 2018-09-27; in: "44th International Conference on Micro and Nanoengineering (MNE)",
(2018),
1 pages.
More information
-
N. Cazier, P. Sadeghi, M. Shawrav, A. Steiger-Thirsfeld, S. Schmid:
"Gap plasmon resonance in electromagnetically-actuated nanomechanicalsilicon nitride strings";
Talk: Nanophotonics and Micro/Nano Optics International Conference 2017,
Barcelona;
2017-09-13
- 2017-09-15; in: "Nanophotonics and Micro/Nano Optics International Conference 2017 Book of Abstracts",
(2017),
62.
More information
-
N. Cazier, P. Sadeghi, M. Shawrav, A. Steiger-Thirsfeld, S. Schmid:
"Nanoelectromechanically modulated plasmonic nanoslit";
Poster: Frontiers of Nanomechanical Systems ( FNS/2019 ),
Palm Springs;
2019-02-10
- 2019-02-14; in: "Frontiers of Nanomechanical Systems (FNS/2019)",
(2019),
65.
More information
-
J. Chauveau, M. Hugues, N. Le Biavan, D. Lefebvre, M. Montes Bajo, J. Tamayo-Arriola, A. Hierro, P. Quach, A. Jollivet, N. Isac, N. Isac, A. Bousseksou, M. Tchernycheva, F.H. Julien, B. Hinkov, G. Strasser, R. Peretti, G. Scalari, J. Faist:
"Non-polar ZnO/(Zn,Mg)O heterostructures for intersubband devices: novel applications with an old material system?";
Talk: SPIE Photonics West 2017,
San Francisco (invited);
2017-01-28
- 2017-02-02; in: "Conference Proceedings",
(2017),
Paper ID 10105-11,
1 pages.
-
J. Chauveau, N. Le Biavan, M. Hugues, M. Montes Bajo, J. Tamayo-Arriola, A. Jollivet, B. Hinkov, H.T. Hoang, B. Meng, D. Lefebvre, M. Tchernycheva, F.H. Julien, G. Strasser, A. Hierro, J. Faist:
"Zinc oxide for THz quantum-cascade devices";
Talk: SPIE Photonics West 2020,
San Francisco (invited);
2020-02-01
- 2020-02-06; in: "SPIE Photonics West Conference 11281",
(2020),
Paper ID 11281-47,
1 pages.
More information
-
J. Chauveau, N. Le Biavan, A. Jollivet, B. Hinkov, B. Meng, D. Lefebvre, Y. Cordier, F.H. Julien, A. Hierro, G. Strasser, J. Faist:
"Homoepitaxy of non-polar ZnO/(Zn,Mg)O mulit-quantum wells: from a precise growth control to the observation of intersubband transitions";
Talk: Photonics West 2018,
San Francisco (invited);
2018-01-27
- 2018-02-01; in: "Conference Proceedings",
(2018),
311.
-
S. Chen, A. Griffoni, P. Srivastava, D. Linten, S. Thijs, M. Scholz, D. Marcon, A. Gallerano, D. Lafonteese, A. Concannon, V. Vashchenko, P. Hopper, S. Bychikhin, D. Pogany, M. Van Hove, S. Decoutere, G. Groeseneken:
"HBM ESD Robustness of GaN-on-Si Schottky Diodes";
Talk: EOS/ESD Symposium,
Anaheim, USA;
2011-09-12
- 2011-09-15; in: "Eos/esd Symposium",
(2011),
61
- 68.
-
M.-H. Chien, M. Shawrav, H. D. Wanzenböck, S. Schmid:
"A Novel Platform for the Detection and Analysis of Plasmonic Nanostructures Based on Nanomechanical Resonator";
Poster: 16th International Workshop in Nanomechanical Sensors (NMC 2019),
Lausanne;
2019-06-19
- 2019-06-21; in: "16th International Workshop on Nanomechanical Sensors",
(2019),
85.
-
M.-H. Chien, M. Shawrav, H. D. Wanzenböck, S. Schmid:
"A Novel Platform for the Detection and Analysis of Plasmonic Nanostructures Based on Nanomechanical Resonator";
Poster: Conference on Lasers and Electro-Optics,
San Jose, California United States;
2019-05-05
- 2019-05-10; in: "CLEO: Science and Innovations 2019",
(2019),
ISBN: 978-1-943580-57-6;
1
- 2.
More information
-
M.-H. Chien, M. Shawrav, H. D. Wanzenböck, S. Schmid:
"Nanomechanical membrane resonator as a novel platform for the detection and analysis of FEBID plasmonic nanostructures";
Talk: Nanophotonics and Micro/Nano Optics International Conference 2017,
Barcelona;
2017-09-13
- 2017-09-15; in: "Nanophotonics and Micro/Nano Optics International Conference 2017 Book of Abstracts",
(2017),
238.
More information
-
K. Cico, D. Gregusova, J. Kuzmik, M. di Forte Poisson, T. Lalinsky, D. Pogany, S. Delage, K. Fröhlich:
"InAlN/GaN MOSHEMT with Al2O3 insulating film";
Poster: International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM),
Smolenice, Slovakia;
2008-10-12
- 2008-10-16; in: "ASDAM",
(2008),
87
- 90.
-
K. Cico, J. Kuzmik, D. Gregusova, T. Lalinsky, A. Georgakilas, D. Pogany, K. Fröhlich:
"Rapid thermal annealing and performance of Al2O3/GaN metal-oxide-semiconductor structures";
Poster: International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM),
Smolenice Castle, Slovakia;
2006-10-16
- 2006-10-18; in: "Conference Proceedings of the sixth International Conference on Advanced Semiconductor Devices and Microsystems",
(2006),
197
- 200.
-
K. Cico, J. Kuzmik, D. Gregusova, T. Lalinsky, D. Pogany, K. Fröhlich:
"Optimization and performance of Al2O3/GaN metal-oxide-semiconductor structures";
Poster: Workshop on Dielectrics in Microelectronics (WODIM),
Santa Tecla, Italy;
2006-06-26
- 2006-06-28; in: "Book of Abstracts",
(2006),
209
- 210.
-
R. Colombelli, F. Capasso, K. Unterrainer, C. Gmachl, A. M. Sergent, D. L. Sivco, A. Y. Cho:
"Quantum Cascade Lasers and Metal Waveguides at lambda>20µm";
Talk: Photonics West,
San Jose;
2002-01-22
- 2002-01-24; in: "SPIE Proceedings",
4651
(2002),
146
- 156.
-
L. Columbo, M. Piccardo, F. Prati, L. Lugiato, M. Brambilla, A. Gatti, C. Silvestri, M. Gioannini, N Opacak, B. Schwarz, F. Capasso:
"Dissipative solitons and frequency combs in a ring quantum cascade laser";
Talk: SPIE Photonics Europe Conferences,
Straßburg;
2022-04-03
- 2022-04-07; in: "Nonlinear Optics and its Applications 2022",
(2022),
Paper ID 12143-8,
1 pages.
-
L. Columbo, F. Prati, L. Lugiato, A. Gatti, M. Gionannini, C. Silvestri, B. Schwarz, N Opacak, M. Piccardo, F. Capasso, M. Brambilla:
"Frequency combs and temporal solitons in a coherently driven ring quantum cascade laser";
Talk: Online Conference - Photonics West 2021,
California;
2021-03-06
- 2021-03-12; in: "Proceedings Volume 11705, Novel In-Plane Semiconductor Lasers XX",
(2021),
Paper ID 117050Y,
1 pages.
More information
-
M. Current, G. Hobler, Y. Kawasaki:
"Aspects of Highly-channeled MeV Implants of Dopants in Si(100)";
Talk: 19th International Workshop on Junction Technology 2019,
Kyoto, Japan (invited);
2019-06-06
- 2019-06-07; in: "19th International Workshop on Junction Technology 2019",
(2019),
ISBN: 978-4-86348-728-4;
40
- 45.
More information
-
M. Current, G. Hobler, Y. Kawasaki, M. Sugitani:
"Channeled MeV B, P and As Profiles in Si(100): Monte-Carlo Models and SIMS";
Talk: International Conference on Ion Implantation Technology,
Würzburg;
2018-09-16
- 2018-09-21; in: "22nd International Conference on Ion Implantation Technology",
(2018),
251
- 254.
-
A. Dabrowska, M. David, A. Schwaighofer, B. Hinkov, A. Harrer, G. Strasser, B. Lendl:
"Towards Broadband Mid-Infrared Fully Integrated Protein Sensor employing a Quantum Cascade Laser and Quantum Cascade Detector";
Talk: CLEO/EUROPE-EQEC 2021,
Munich, Germany;
2021-06-21
- 2021-06-25; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference",
OSA Technical Digest (Optical Society of America, 2021),
(2021),
ISBN: 978-1-6654-1876-8;
Paper ID paper CL_4_2.
More information
-
S. Dal Cin, J. Hillbrand, P. Allmendinger, P. Jouy, G. Strasser, B. Schwarz:
"Optical Phase Locked Loop for Quantum Cascade Laser Frequency Combs";
Poster: Photonica 2021,
Belgrad;
2021-08-23
- 2021-08-27; in: "Photonica 2021",
(2021).
-
S. Dal Cin, J. Hillbrand, P. Allmendinger, P. Jouy, G. Strasser, B. Schwarz:
"Phase locking of two free running Quantum Cascade Laser frequency combs";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
39.
-
S. Dal Cin, F. Pilat, B. Schwarz, G. Strasser:
"Lateral mode switching in broader ridge waveguide Fabry-Perot quantum cascade laser frequency combs";
Talk: SPIE Photonics Europe Conferences,
Straßburg;
2022-04-03
- 2022-04-07; in: "Semiconductor Lasers and Laser Dynamics X",
(2022),
Paper ID 12141-17,
1 pages.
-
J. Darmo, D. Bachmann, M. Martl, D. Dietze, V. Paeder, A. M. Andrews, G. Strasser, K. Unterrainer:
"Time-domain probe of THz nanostructure lasers";
Talk: 22th International Conference on Advanced Laser Technologies (ALT'14),
Cassis, France (invited);
2014-10-06
- 2014-10-10; in: "Book of Abstracts",
(2014),
1.
-
J. Darmo, G. Fasching, A. Benz, J. Kröll, M. Martl, D. Dietze, S. Barbieri, C. Sirtori, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz Quantum-Cascade Lasers: Time Domain Spectroscopy and Micro Cavity Effects";
Keynote Lecture: 33rd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW),
Pasadena, California, Usa;
2008-09-15
- 2008-09-19; in: "Conference Guide",
(2008),
2 pages.
-
J. Darmo, G. Fasching, A. Benz, W. Parz, M. Martl, D. Dietze, A. M. Andrews, G. Strasser, K. Unterrainer:
"Terahertz quantum-cascade lasers: phase resolved dynamics and micro cavity effects";
Talk: SPIE Photonics West,
San Jose Convention Center, San Jose, California, USA (invited);
2009-01-24
- 2009-01-29; in: "Book of Abstracts",
(2009),
251.
-
J. Darmo, J. Kröll, V. Tamosiunas, G. Fasching, K. Unterrainer, G. Strasser, M. Beck, M. Giovannini, J. Faist:
"Optical control processes in terahertz quantum-cascade laser waveguides";
Talk: Conference on Lasers and Electro-Optics,
Baltimore, USA;
2005-05-22
- 2005-05-27; in: "Technical Digest",
(2005),
ISBN: 1-55752-770-9;
Paper ID QTh16.
-
J. Darmo, J. Kröll, K. Unterrainer:
"THz evanescent field spectrosopy";
Talk: The Joint 30th International Conference on Infrared and Millimeter Waves & 13th International Conference on Terahertz Electronics,
Willimsburg, Virginia, USA;
2005-09-19
- 2005-09-23; in: "IRMMW-Thz 2005",
(2005),
ISBN: 0-7803-9349-x;
Paper ID MA2-4,
2 pages.
-
J. Darmo, J. Kröll, K. Unterrainer, M. Hulman, H. Kuzmany:
"Terahertz time-resolved linear spectroscopy of single-wall carbon nanotube films";
Talk: CLEO/IQEC 2004,
San Franisco, USA;
2004-05-16
- 2004-05-21; in: "Technical Digest",
(2004),
Paper ID JMB7,
2 pages.
-
J. Darmo, M. Martl, D. Dietze, G. Strasser, K. Unterrainer:
"THz Photonics for Non-destructive Testing";
Talk: International THz Conference,
Villach, Österreich (invited);
2011-11-24
- 2011-11-25; in: "Technical Digest",
(2011),
ISBN: 978-3-85403-287-8;
6 pages.
-
J. Darmo, T. Müller, G. Strasser, T. Le, G. F. Tempea, A. Stingl:
"Photoconductive terhertz Emitter with an Integrated Semiconductor Bragg Mirror";
Poster: International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM),
Smolenice Castle, Slovakia;
2002-10-14
- 2002-10-16; in: "Conference Proc. of 4th Int. Conf. on Advanced Semiconductor Devices and Microsystems",
(2002),
179
- 182.
-
J. Darmo, T. Müller, G. Strasser, K. Unterrainer, T. Le, A. Stingl:
"Voltage-controlled intracavity THz generator for self-starting Ti:Sapphire lasers";
Poster: Conference on Lasers and Electro-Optics,
Long Beach, USA;
2002-05-19
- 2002-05-24; in: "Postdeadline papers",
Optical Society of America,
(2002),
1
- 3.
-
J. Darmo, T. Müller, G. Strasser, K. Unterrainer, T. Le, G. Tempea, A. Stingl:
"Intra- and extra - cavity THz generation from optically and electrically confined photoconducting lasers";
Talk: 10th Int. IEEE Conf. on Terahertz Electronics,
Cambridge, UK;
2002-09-09
- 2002-09-10; in: "IEEE Tenth International Conference on Terahertz Electronics Proceedings",
(2002),
67
- 69.
-
J. Darmo, W. Parz, M. Martl, D. Dietze, T. Müller, G. Strasser, K. Unterrainer et al.:
"Few-cycle THz studies of quantum cascade laser active regions and resonators";
Keynote Lecture: 2nd International Workshop TeraTech,
Osaka University Center, Osaka, Japan (invited);
2009-11-30
- 2009-12-03; in: "Proceedings of 2nd International Workshop TeraTech",
(2009),
93
- 96.
-
J. Darmo, W. Parz, M. Martl, S. Schartner, W. Schrenk, P. Klang, A. M. Andrews, K. Unterrainer, G. Strasser:
"Non -linear optics in quantum cascade lasers";
Talk: International Workshop on Optical Terahertz Science and Technology (OTST 2009),
Fess Parkers Doubletree Resort, Santa Barbara, California, USA (invited);
2009-03-07
- 2009-03-11; in: "Book of Abstracts",
(2009),
209.
-
J. Darmo, G. Strasser, T. Müller, T. Roch, K. Unterrainer:
"New generation of photoconductive Terahertz emitters";
Poster: GMe Forum 2003,
Wien, Austria;
2003-04-10
- 2003-04-11; in: "Proceedings GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
85
- 88.
-
J. Darmo, G. Strasser, T. Roch, T. Müller, K. Unterrainer, G. Tempea:
"New generation of photoconductive few-cycle Terahertz emitters";
Talk: International conference on Ultrafast Optics (UFO IV.),
Wien, Österreich;
2003-06-29
- 2003-07-04; in: "Ultrafast Optics IV",
Springer,
(2003),
ISBN: 0-387-40091-5;
405
- 410.
-
J. Darmo, V. Tamosiunas, J. Kröll, T. Müller, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, K. West, L. Pfeiffer:
"THz quantum cascade lasers: magnetic field effects and waveguide properties";
Talk: 2004 MRS Fall Meeting,
Boston, USA;
2004-11-29
- 2004-12-03; in: "Abstracts",
(2004),
46.
-
M. David, A. Dabrowska, E. Hinkelmann, I. Doganlar, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov:
"Hybrid semiconductor-metal plasmonic waveguide for on-chip sensors in the longwave infrared";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
49.
-
M. David, A. Dabrowska, M. Sistani, I. Doganlar, B. Schwarz, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov, E. Hinkelmann:
"Germanium-based Dielectric Loaded Plasmonic Waveguides For The Long-wave Infrared Spectral Range";
Talk: Compound Semiconductor Week (CSW),
Stockholm;
2021-05-09
- 2021-05-13; in: "Online Conference - CSW 2021",
(2021),
Paper ID WeA2.6,
1 pages.
-
M. David, A. Dabrowska, M. Sistani, E. Hinkelmann, I. Doganlar, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov:
"LWIR dielectric-loaded surface-plasmon-polariton waveguide for optical sensing";
Talk: 15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD),
virtuell;
2021-09-01
- 2021-09-03; in: "15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD)",
(2021),
Paper ID O11-3,
1 pages.
-
M. David, A. Dabrowska, M. Sistani, E. Hinkelmann, I. Doganlar, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov:
"Octave-spanning long-range plasmonic waveguide based on semiconductor-loading for mid-infrared monolithic sensors";
Talk: SPIE Photonics West 2022 (SPIE OPTO),
San Francisco;
2022-01-22
- 2022-01-27; in: "Proceedings Volume PC12021, Novel In-Plane Semiconductor Lasers XXI",
PC12021
(2022),
Paper ID PC120210N,
1 pages.
More information
-
M. David, A. Dabrowska, M. Sistani, E. Hinkelmann, I. Doganlar, B. Schwarz, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov:
"Low loss dielectric loaded plasmonic waveguides for sensing applications above nine microns";
Poster: CLEO/Europe EQEC 2021,
Virtual Conference;
2021-06-21
- 2021-06-25; in: "Proceedings of Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference",
(2021),
Paper ID EH-P.5,
1 pages.
-
M. David, A. Dabrowska, M. Sistani, E. Hinkelmann, I. Doganlar, B. Schwarz, H. Detz, W. Weber, B. Lendl, G. Strasser, B. Hinkov:
"Towards long-wave infrared lab-on-chip sensors using plasmonic and quantum cascade technology";
Poster: ICAVS11,
Krakau;
2021-08-23
- 2021-08-26; in: "11th International Conference on advanced vibrational spectroscopy",
(2021),
31.
-
M. David, J. Hillbrand, S. Dal Cin, A. M. Andrews, H. Detz, E. Gornik, B. Schwarz, G. Strasser:
"High speed and high bandwidth quantum cascade detectors";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
83.
-
J. Delaforce, M. Sistani, R. Kramer, M. Hertog, N. Roch, C. Naud, A. Lugstein, O. Buisson:
"Superconducting Proximity Effect in Ultra-Scaled Pure Ge Quantum Dots";
Talk: APS March Meeting,
ONLINE;
2021-03-15
- 2021-03-19; in: "APS March Meeting",
(2021),
Paper ID B48.00005,
1 pages.
More information
-
M. Denison, M. Blaho, D Silber, J Joos, N. Jensen, M. Stecher, V. Dubec, D. Pogany, E. Gornik:
"Hot spot dynamics in quasi vertical DMOS under ESD stress";
Poster: ISPSD,
Cambridge, UK;
2003-04-14
- 2003-04-17; in: "Proceedings of ISPSD",
(2003),
ISBN: 0780378768;
80
- 83.
-
M. Denison, S. Murtaza, R. Steinhoff, S. Merchant, S. Pendharkar, S. Bychikhin, D. Pogany:
"25V ESD npn transistor optimized by distributed emitter ballasting using emitter contact area segmentation";
Talk: International Reliability Physics Symposium (IRPS),
Phoenix, USA;
2007-04-15
- 2007-04-19; in: "Proc. International Reliability Physics Symposium (IRPS)",
(2007),
604
- 605.
-
H. Detz, A. M. Andrews, M. Nobile, P. Klang, E. Mujagic, G. Hesser, W. Schrenk, G. Strasser:
"InGaAs/GaAsSb - An Aluminum-free material combination for optoelectronic intersubband devices";
Poster: International Conference on Physics of Semiconductor (ICPS),
Korea, Seoul;
2010-07-25
- 2010-07-30; in: "ICPS2010",
(2010),
147.
-
H. Detz, C. Deutsch, M. Nobile, P. Klang, A. M. Andrews, C. Schwarzer, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb Terahertz Quantum Cascade Lasers";
Talk: CLEO 2011,
Baltimore;
2011-05-01
- 2011-05-06; in: "Technical Digest",
(2011),
ISBN: 978-1-55752-910-7;
Paper ID CMF5,
2 pages.
-
H. Detz, C. Deutsch, T. Zederbauer, A. M. Andrews, M. Schuster, P. Klang, A. Benz, W. Schrenk, K. Unterrainer, G. Strasser:
"Symmetric InGaAs/GaAsSb based THz quantum cascade lasers";
Talk: GDRI-CNRS workshop: Semiconductor Sources and Detectors of THz Radiation,
Tignes, Frankreich (invited);
2012-04-24
- 2012-04-27; in: "GDR-I Workshop 2012",
(2012),
14
- 15.
-
H. Detz, C. Deutsch, T. Zederbauer, P. Klang, A. M. Andrews, M. Schuster, W. Schrenk, K. Unterrainer, G. Strasser:
"Symmetric InGaAs/GaAsSb Terahertz Quantum Cascade Lasers as a Tool for Interface Analysis";
Talk: The 17th International Conference on Molecular Beam Epitaxy (MBE 2012),
Nara, Japan;
2012-09-23
- 2012-09-28; in: "MBE2012",
(2012),
1
- 2.
-
H. Detz, C. Deutsch, T. Zederbauer, P. Klang, A. M. Andrews, M. Schuster, W. Schrenk, K. Unterrainer, G. Strasser:
"Symmetric THz Quantum Cascade Lasers as a Tool for Growth Optimization";
Talk: European Molecular Beam Epitaxy Workshop,
Levi, Finnland;
2013-03-10
- 2013-03-13; in: "Book of Abstracts",
(2013),
73.
-
H. Detz, C. Deutsch, T. Zederbauer, D. MacFarland, M. Krall, M. Brandstetter, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Material Analysis and Optimization using Symmetric THz Quantum Cascade Lasers";
Talk: International Symposium on Compound Semiconductors (ISCS),
Montpellier, Frankreich (invited);
2014-05-11
- 2014-05-15; in: "Compound Semiconductor Week",
(2014),
1
- 3.
-
H. Detz, M. A. Kainz, S. Schönhuber, T. Zederbauer, D. MacFarland, M. Krall, C. Deutsch, M. Brandstetter, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Evolution of Material Systems for THz Quantum Cascade Lasers";
Talk: Compound Semiconductor Week (CSW),
Cambridge;
2018-05-29
- 2018-06-01; in: "CSW2018",
(2018),
115.
-
H. Detz, P. Klang, A. M. Andrews, Y.J. Hyun, A. Lugstein, W. Schrenk, G. Strasser:
"Heteroepitaxy of tilted Gaas nanowires on surface treated Si substrates";
Poster: 15th European Molecular Beam Epitaxy Workshop,
Zakopane - Poland;
2009-03-08
- 2009-03-11; in: "Book of Abstracts",
(2009).
-
H. Detz, P. Klang, A. M. Andrews, M. Nobile, E. Mujagic, G. Hesser, W. Schrenk, F. Schäffler, G. Strasser:
"MBE Growth and Characterization of InGaAs/GaAsSb Superlattices";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "16th International Winterschool Mauterndorf",
(2010),
181
- 182.
-
H. Detz, M. Kriz, S. Lancaster, D. MacFarland, M. Schinnerl, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Lithography-Free Positioned GaAs Nanowire Growth";
Poster: 19th International Winterschool - New Developments in Solid State Physics,
Mauterndorf;
2016-02-21
- 2016-02-26; in: "Digital digest",
(2016),
Paper ID P 6.10,
1 pages.
-
H. Detz, M. Kriz, S. Lancaster, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Nuclation Of Nano-Scale Gallium Droplets Silicon Surfaces";
Talk: European Molecular Beam Epitaxy Workshop,
Canazei, Italien;
2015-03-15
- 2015-03-18; in: "Book of Abstracts",
(2015),
1
- 2.
-
H. Detz, S. Lancaster, H. Groiss, D. MacFarland, A. M. Andrews, T. Zederbauer, W. Schrenk, G. Strasser:
"Formation of B-enriched clusters on GaAs nanowire sidewalls";
Poster: German MBE Workshop,
Garching, Deutschland;
2016-10-13
- 2016-10-14; in: "German MBE Workshop",
(2016).
-
H. Detz, S. Lancaster, H. Groiss, J. Zeininger, A. M. Andrews, W. Schrenk, G. Strasser:
"Elucidating the impact of B incorporation in GaAs through nanowire growth";
Poster: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
2019-08-26
- 2019-08-30; in: "Gemeinsame Jahrestagung in Zürich ÖPS, SPS",
(2019),
54.
-
H. Detz, D. MacFarland, T. Zederbauer, S. Lancaster, A. M. Andrews, W. Schrenk, G. Strasser:
"Anomalous Incorporation of B into BxGa1-xAs Layers";
Poster: 19th International Conference on Molecular Beam Epitaxy,
Montpellier, Frankreich;
2016-09-04
- 2016-09-09; in: "Final Program",
(2016),
1
- 2.
-
H. Detz, J. Maier, G. Strasser:
"Atomistic Modeling of Interfacial Strain in III-V Heterostructures";
Talk: Compound Semiconductor Week (CSW),
Santa Barbara;
2015-06-28
- 2015-07-02; in: "2015 Compound Semiconductor Week",
(2015),
1
- 2.
-
H. Detz, J. Silvano de Sousa, H. Leonhardt, P. Klang, T. Zederbauer, A. M. Andrews, W. Schrenk, J. Smoliner, G. Strasser:
"Two-Dimensional Electron Gases Based on InGaAs/GaAsSb Heterostructures";
Talk: International Symposium on Compound Semiconductors (ISCS),
Montpellier, Frankreich;
2014-05-11
- 2014-05-15; in: "Compound Semiconductor Week",
(2014),
1
- 3.
-
H. Detz, J. Silvano de Sousa, M. Schwantzer, C. Deutsch, M. Nobile, T. Zederbauer, P. Klang, A. M. Andrews, W. Schrenk, J. Smoliner, K. Unterrainer, G. Strasser:
"Electronic characterization of InGaAs/GaAsSb heterostructures by magneto transport in resonant tunnelung diodes";
Poster: ITQW,
Badesi, Italy;
2011-09-11
- 2011-09-17; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
H. Detz, G. Strasser:
"Metropolis Monte Carlo based Relaxation of Atomistic III-V Semiconductor Models";
Talk: International Symposium on Mathematical Modeling (MATHMOD),
Wien;
2015-02-18
- 2015-02-20; in: "MATHMOD 2015",
(2015),
1
- 7.
-
H. Detz, T. Zederbauer, P. Klang, A. M. Andrews, M. Nobile, M. Schuster, C. Deutsch, M. Brandstetter, W. Schrenk, K. Unterrainer, G. Strasser:
"Improved InGaAs/GaAsSb MBE Growth of Quantum Cascade Lasers";
Keynote Lecture: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2012-02-12
- 2012-02-17; in: "Proceedings of 17th International Winterschool on New Developments in Solid State Physics",
(2012),
978‐3‐901578‐24‐3.
-
C. Deutsch, A. Benz, H. Detz, P. Klang, M. Nobile, A. Andrews, W. Schrenk, T. Kubis, P. Vogl, G. Strasser, K. Unterrainer:
"InGaAs/GaAsSb Terahertz Quantum Cascade Lasers";
Talk: EDISON 17,
Santa Barbara, USA;
2011-08-07
- 2011-08-12; in: "Technical Digest",
(2011),
Paper ID M1.2,
2 pages.
-
C. Deutsch, A. Benz, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"InGaAs/GaAsSb Terahertz Quantum Cascade Lasers operating up to 135 K";
Talk: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
2011-03-13
- 2011-03-17; in: "Workshop Program",
(2011),
Paper ID MD3,
1 pages.
-
C. Deutsch, A. Benz, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"InGaAs/GaAsSb/UnP Terahertz quantum cascade lasers";
Talk: European Semiconductor Laser Workshop 2010,
University of Pavia, Italien;
2010-09-24
- 2010-09-25; in: "Book of Abstracts",
(2010),
8.
-
C. Deutsch, A. Benz, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz Quantum Cascade Laser in the InGaAs/GaAsSb Material System";
Talk: 35th International Conference on Infrared, Millimeter and Terahertz Waves,
Rom, Italien;
2010-09-05
- 2010-09-10; in: "Book of Abstracts",
(2010),
ISBN: 978-1-4244-6656-6;
2 pages.
-
C. Deutsch, A. Benz, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Laser realized in the InGaAs/GaAsSb Material System";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
2010-08-30
- 2010-09-03; in: "Book of Abstracts",
(2010),
1 pages.
-
C. Deutsch, A. Benz, G. Fasching, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Dual-Color Terahertz Quantum Cascade Laser Emission";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "Book of Abstracts",
(2010),
190
- 191.
-
C. Deutsch, A. Benz, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Performance of Phonon Depoluated terahertz Quantum Cascade Lasers";
Poster: GMe Forum 2008,
Wien;
2008-11-13
- 2008-11-14; in: "GMe Forum 2008 - Proceedings of the Seminar at the Vienna University Of Technology",
Gesellschaft für Mikro- und Nanoelektronik,
Wien
(2009),
ISBN: 978-3-901578-20-5;
65
- 68.
-
C. Deutsch, A. Benz, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, T. Kubis, C. Yeh, P. Vogl:
"Comparison between NEGF Simulation and Experimental Results of Terahertz Quantum Cascade Lasers";
Poster: CLEO Europe,
München, Deutschalnd;
2009-06-14
- 2009-06-19; in: "Book of Abstracts",
(2009),
ISBN: 978-1-4244-4080-1;
CB.P.36.
-
C. Deutsch, A. Benz, K. Unterrainer, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"135 K Operation of InGaAs/GaAsSb Terahertz Quantum Cascade Lasers";
Talk: 15th International Conference on Narrow Gap Systems,
Blacksburg, USA;
2011-08-01
- 2011-08-05; in: "Technical Digest",
(2011).
-
C. Deutsch, A. Benz, K. Unterrainer, P. Klang, H. Detz, M. Nobile, A. M. Andrews, W. Schrenk, G. Strasser:
"A new material system for terahertz quantum cascade lasers: InGaAs/GaASb";
Talk: EOS Annual Meeting 2010 (EOSAM 2010),
Paris, Frankreich;
2010-10-26
- 2010-10-29; in: "Book of Abstracts",
(2010),
ISBN: 978-3-00-030509-2;
2 pages.
-
C. Deutsch, M. Brandstetter, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Towards Watt-Level Performance of Terahertz Quantum Cascade Lasers";
Talk: CLEO 2014,
San Jose;
2014-06-08
- 2014-06-13; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
Paper ID STh4G.7,
2 pages.
-
C. Deutsch, H. Detz, A. M. Andrews, T. Zederbauer, A. Benz, W. Schrenk, G. Strasser, K. Unterrainer:
"InGaAs/GaAsSb: Highly Attractive for Terahertz Quantum Cascade Lasers";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2012-02-12
- 2012-02-17; in: "Proceedings of 17th International Winterschool on New Developments in Solid State Physics",
(2012).
-
C. Deutsch, H. Detz, A. Benz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High performance InGaAs/GaAsSb terahertz quantum cascade lasers";
Talk: International THz Conference,
Villach, Österreich;
2011-11-24
- 2011-11-25; in: "Conference Program",
(2011),
ISBN: 978-3-85403-287-8;
3 pages.
-
C. Deutsch, H. Detz, A. Benz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Progress on InGaAs/GaAsSb based Terahertz Quantum Cascade Lasers";
Talk: CLEO Europe 2011,
Munich, Germany;
2011-05-22
- 2011-05-26; in: "EQEC 2011 - Conference Digest",
(2011),
ISBN: 978-1-4577-0532-8;
Paper ID CC2.1 SUN,
1 pages.
-
C. Deutsch, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Symmetric InGaAs/GaAsSb Terahertz Quantum Cascade Lasers";
Talk: ITQW,
Badesi, Italy;
2011-09-11
- 2011-09-17; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
C. Deutsch, H. Detz, M. Nobile, A. Benz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade lasers with InGaAs/GaAsSb active regions";
Talk: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
2011-01-19
- 2011-01-20; in: "Conference Digest",
(2011),
1 pages.
-
C. Deutsch, H. Detz, M. Nobile, A. Benz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Type II InGaAs/GaAsSb Terahertz Quantum Cascade Lasers";
Talk: EP2DS19/MSS15 2011,
Tallahassee, USA;
2011-07-25
- 2011-07-29; in: "Technical Digest",
(2011).
-
C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, A. Benz, G. Strasser, K. Unterrainer:
"Terahertz Quantum Cascade Lasers with Symmetric Active Regions";
Talk: CLEO 2012,
San Jose, California, USA;
2012-05-06
- 2012-05-11; in: "Technical Digest",
(2012),
ISBN: 978-1-55752-943-5;
Paper ID CTh4N.6,
2 pages.
-
C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, K. Unterrainer, T. Kubis, G. Strasser:
"Probing growth-induced imperfections with symmetric terahertz quantum cascade laser structures";
Talk: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden (invited);
2012-09-02
- 2012-09-06; in: "International Quantum Cascade Lasers School & Workshop 2012",
(2012),
978‐3‐901578‐24‐3;
60.
-
C. Deutsch, M. Krall, H. Detz, M. Brandstetter, T. Zederbauer, A. M. Andrews, G. Strasser, K. Unterrainer:
"High Performance InGaAs/InP-based Terahertz Quantum Cascade Lasers and Growth-related Asymmetries";
Talk: ITQW,
New York, USA;
2013-09-15
- 2013-09-20; in: "Technical Digest",
(2013).
-
C. Deutsch, E. Mujagic, A. Benz, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser, K. Unterrainer:
"Surface Emitting Terahertz Quantum Cascade Ring Lasers";
Talk: SPIE Optics+Photonics 2010,
San Diego, USA;
2010-08-01
- 2010-08-05; in: "Technical Program",
(2010),
1 pages.
-
C. Deutsch, K. Unterrainer, A. Benz, G. Fasching, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Effects of doping concentration on terahertz quantum-cascade lasers";
Poster: CLEO/Europe - IQEC 2007,
Munich, Germany;
2007-06-17
- 2007-06-22; in: "Advance Programme",
(2007).
-
D. Dietze, A. Benz, G. Strasser, K. Unterrainer, J. Darmo:
"Strong Terahertz Light-Matter Coupling Between Metamaterials and Intersubband Transitions";
Talk: CLEO 2012,
San Jose, California, USA;
2012-05-06
- 2012-05-11; in: "Technical Digest",
(2012),
ISBN: 978-1-55752-943-5;
Paper ID QTu3F.4,
2 pages.
-
D. Dietze, J. Darmo, G. Strasser, K. Unterrainer:
"Metasurfaces Coupled to Terahertz Intersubband Transitions";
Talk: CLEO Europe 2011,
Munich, Germany;
2011-05-22
- 2011-05-26; in: "EQEC 2011 - Conference Digest",
(2011),
ISBN: 978-1-4577-0532-8;
Paper ID CC2.2 SUN,
1 pages.
-
D. Dietze, J. Darmo, G. Strasser, K. Unterrainer:
"Terahertz Intersubband Transitions Coupled to Metasurfaces";
Talk: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
2011-03-13
- 2011-03-17; in: "International Workshop on Optical Terahertz Science and Technology-Workshop Program",
(2011),
Paper ID WE4,
1 pages.
-
H. Dodt, K. Becker, C. Hahn, S. Saghafi:
"IT-3-0-1457 Imaging of cleared biological samples with the Ultramicroscope";
Talk: 18th International Microscopy Congress,
Prag;
2014-09-07
- 2014-09-12; in: "18th International Microscopy Congress Proceedings",
(2014),
ISBN: 978-80-260-6721-4;
316.
-
H. Dodt, C. Hahn, K. Becker, S. Saghafi, N. Jährling, M. Pende, M. Sabdyusheva-Litschauer, M. Wanis:
"Ultramicroscopy of large samples with improved clearing";
Talk: LightSheet Fluorescence Microscopy International Conference,
Genoa (invited);
2015-07-05
- 2015-07-08; in: "2nd LightSheet Fluorescence Microscopy International Conference",
(2015),
31.
-
H. Dodt, C. Hahn, S. Saghafi, K. Becker, N. Jährling, M. Pende, M. Sabdyusheva-Litschauer, M. Wanis:
"Ultramicroscopy of large samples with improved clearing and optics";
Talk: UltraMicroscope User Meeting,
Münster, Deutschland;
2015-09-21
- 2015-09-22; in: "1st UltraMicroscope User Meeting",
(2015),
13.
-
H. Dodt, N. Jährling, K. Becker:
"The Glass Brain: 3D-Visualization of Neutronal Networks in Whole Mouse Brain by New Microscopy (Ultramicroscopy)";
Poster: World Molecular Imaging Congress,
Nice, France;
2008-09-10
- 2008-09-13; in: "Abstracts",
(2008).
-
H. Dodt, N. Jährling, K. Becker:
"The Glass Brain: 3D-Visualization of neutronal networks in whole mouse brain by new microscopy (ultramicroscopy)";
Talk: 30th GIF-Meeting: Brain Imaging,
Jerusalem, Israel;
2008-11-19
- 2008-11-21; in: "Abstracts",
(2008).
-
H. Dodt, N. Jährling, K. Becker:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by ultramicroscopy";
Talk: Spring Optics and Photonics Congress,
St. Petersburg, Florida, USA;
2008-03-16
- 2008-03-20; in: "Abstracts",
(2008).
-
H. Dodt, N. Jährling, S. Saghafi, K. Becker:
"Ultramicroscopy: 3D-reconstruction of Drosophila´s inner anatomy with improved optics";
Talk: Topical problems of Biophotonics,
Nizhni Novorod, Russia;
2011-07-16
- 2011-07-22; in: "Proceedings",
(2011),
18
- 19.
-
H. Dodt, N. Jährling, S. Saghafi, S. Kalchmair, K. Becker:
"Visualization of neuronal networks in the whole mouse brain and mouse embryos by ultramiroscopy";
Talk: Topical problems of Biophotonics,
Nizhny Novgorod, Russia (invited);
2009-07-19
- 2009-07-24; in: "Proceedings",
(2009).
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, M. Pende, I. Sabdyusheva-Litschauer, M. Foroughipour:
"Fast nearly isotropic imaging of drosophilia and mouse brains by ultramicroscopy";
Talk: Photonics West 2019,
San Francisco;
2019-02-02
- 2019-02-07; in: "Proceedings of SPIE Vol. 10865",
(2019),
Paper ID 10865-6,
1 pages.
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, M. Pende, I. Sabdyusheva-Litschauer, M. Foroughipour:
"Fast nearly isotropic imaging of large samples with light sheets beyond the diffraction limit";
Talk: Light Sheet Fluorescence Microscopy Conference,
Leipzig;
2018-08-12
- 2018-08-15; in: "10th Anniversary Light Sheet Fluorescence Microscopy Conference",
(2018),
8.
-
H. Dodt, S. Saghafi, K. Becker, N. Jährling, C. Hahn:
"Imaging of whole mouse brains with the ultramicroscope";
Talk: Annual Meeting of the German Physiological Society,
Magdeburg, Deutschland;
2015-03-05
- 2015-03-07; in: "Annual Meeting of the German Physiological Society",
(2015),
36.
-
I. Doganlar, M. David, M. Sistani, D Nazzari, H. Detz, A. Lugstein, W. Weber, G. Strasser, B. Hinkov:
"Material Analysis for Mid-IR Dielectric Loaded Plasmonic Waveguides and Their Application in Chemical Sensing";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
54.
-
K. Domanski, M. Heer, K. Esmark, D. Pogany, W. Stadler, E. Gornik:
"External (transient) latchup phenomenon investigated by optical mapping (TIM) technique";
Talk: EOS/ESD Symposium,
Anaheim, USA;
2007-09-16
- 2007-09-21; in: "EOS/ESD Symposium",
(2007),
07347
- 07353.
-
V. Dubec, S. Bychikhin, D. Pogany, E. Gornik, N. Jensen, M. Stecher, G. Groos:
"Error Analysis in Phase Extracton in a 2D Hologrphic Imaging of Semiconductor Devices";
Talk: SPIE Conference,
San Jose, California, USA;
2004-01-18
- 2004-01-21; in: "Proceedings of the SPIE Vol.5290",
(2004),
233
- 241.
-
C. Ebm, G. Hobler:
"Simulation of Ion-beam Induced Etching and Deposition Using a Non-local Recoil-based Algorithm";
Talk: MRS Spring Meeting,
San Francisco;
2009-04-13
- 2009-04-17; in: "MRS online Proceedings library",
(2009),
6 pages.
-
C. Eder, J. Smoliner, R. Heer, G. Strasser, E. Gornik:
"Direct Observation of Superlattice Minibands by Ballistic Electron Emission Microscopy";
Talk: STM Conference,
Hamburg;
1997-07-01; in: "Proc. 9th Int. Conf. STM 97",
(1997),
1.
-
C. Eder, J. Smoliner, G. Strasser, E. Gornik:
"Low temperature BEEM studies on quantum wires fabricated on GaAs-AlGaAs heterostructures";
Talk: International Conference on Physics of Semiconductor (ICPS),
Berlin;
1996-07-01; in: "Proc. ICPS23",
(1996),
1209.
-
A. Ehsani, S. Saghafi, M. Goranneviss, M. Hantezadeh, P. Seyf, H. Dodt:
"Optical Modifications of SiO2 Thin Film coated on Polycarbonate caused by VIS-IR Laser Illumination";
Poster: 60th Annual Meeting Austrian Physical Society,
Salzburg;
2010-09-06
- 2010-09-10; in: "60th Annual Meeting Austrian Physical Society",
(2010).
-
F. Eickemeyer, K. Reimann, M. Woerner, T. Elsaesser, S. Barbieri, C. Sirtori, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer:
"Ultrafast coherent electron transport in quantum cascade structures";
Talk: 13th International Conference on Ultrafast Phenomena,
Vancouver, Canada;
2002-05-12
- 2002-05-17; in: "OSA Trends in Optics anf Photonics",
Optical Society of America,
Vol. 72, Washington DC
(2002),
183
- 184.
-
F. Eickemeyer, K. Reimann, M. Woerner, T. Elsaesser, S. Barbieri, C. Sirtori, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer:
"Ultrafast coherent electron transport in quantum cascade structures";
Talk: CLEO 2002,
Long Beach USA;
2002-05-19
- 2002-05-25; in: "OSA Trends in Optics and Photonics",
Optical Society of America,
Vol. 73. Washington DC
(2002),
183.
-
F. Eickemeyer, R. Reimann, M. Woerner, T. Elsaesser, S. Barbieri, C. Sirtori, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer:
"Ultrafast gain dynamics in quantum cascade laser structures";
Talk: 26th Int. Conf. on the Physics of Semiconductors,
Edinburgh, Scottland;
2002-07-29
- 2002-08-02; in: "Ultrafast gain dynamics in quantum cascade laser structures",
(2002),
1
- 7.
-
C.M. Engelhardt, G. Schindler, W. Steinhögl, G. Steinlesberger:
"The Future of Interconnection Technology Until the End of Roadmap and Beyond";
Talk: Workshop on Ultimate Integration of Silicon (ULIS),
München, Germany;
2002-03-06
- 2002-03-08; in: "Proceedings 3rd European Workshop on Ultimate Integration of Silicon",
(2002),
57.
-
M. Ertl, B. Limbacher, M. A. Kainz, D Theiner, M Beiser, M Giparakis, A. M. Andrews, G. Strasser, K. Unterrainer:
"Epi-down Bonded Quantum Cascade Patch Antenna Array Laser";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
1.
-
K. Esmark, C. Fürböck, H. Gossner, G. Groos, M. Litzenberger, D. Pogany, R. Zelsacher, M. Stecher, E. Gornik:
"Simulation and experimental study of temperature distribution during ESD stress in smart-power technology ESD protection structures";
Poster: International Reliability Physics Symposium,
San Jose, California;
2000-04-10
- 2000-04-13; in: "Proceeding IRPS 2000",
(2000),
304
- 309.
-
K. Esmark, H. Gossner, S. Bychikhin, D. Pogany, C. Russ, G. Langguth, E. Gornik:
"Transient behaviour of SCRs under ESD pulses";
Talk: International Reliability Physics Symposium (IRPS),
Phoenix, USA;
2008-04-27
- 2008-05-01; in: "Proc. IRPS (International Reliability Physics Symposium) 2008",
(2008),
247
- 253.
-
G. Fasching, A. Benz, A.M. Andrews, K. Unterrainer, R. Zobl, T. Roch, W. Schrenk, G. Strasser, V Tamosiunas:
"Microcavity THz quantum cascade laser";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
2006-02-13
- 2006-02-17; in: "Book of Abstract",
(2006),
151.
-
G. Fasching, A. Benz, A.M. Andrews, K. Unterrainer, R. Zobl, W. Schrenk, G. Strasser, V Tamosiunas:
"Dual-mode microdisk terahertz quantum-cascade lasers";
Poster: 28th International Conference on the Physics of Semiconductors,
Vienna, Austria;
2006-07-24
- 2006-07-28; in: "Programme and Abstracts",
(2006),
182
- 183.
-
G. Fasching, A. Benz, C. Deutsch, A.M. Andrews, K. Unterrainer, R. Zobl, P. Klang, W. Schrenk, V Tamosiunas:
"Dynamical frequency pulling of degenerated and nondegenerated modes in small mode volume whispering-gallery terahertz quantum lasers-cascade lasers";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Salzburg;
2008-02-18
- 2008-02-22; in: "Abstracts",
(2008),
159.
-
G. Fasching, A. Benz, C. Deutsch, W. Parz, J. Darmo, A.M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Lasers: Microcavities and Photonics Crystal Devices";
Talk: 15th International Conference on Superlattices, Nanostructures and Nanodevices,
Natal, Brazil;
2008-08-03
- 2008-08-08; in: "Program Book",
(2008),
25.
-
G. Fasching, A. Benz, C. Deutsch, K. Unterrainer, A. M. Andrews, R. Zobl, P. Klang, W. Schrenk, V Tamosiunas:
"Electrically Controlled Optical Coupling in Terahertz Photonic Molecule Lasers";
Talk: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brasilien;
2008-06-27
- 2008-07-01; in: "Book of Abstracts",
(2008),
377
- 378.
-
G. Fasching, A. Benz, C. Deutsch, K. Unterrainer, A.M. Andrews, R. Zobl, P. Klang, W. Schrenk, V. Tamosiunas:
"Electrically Controlled Optical Coupling Terahertz Photonic Molecule Lasers";
Talk: ICPS 2008 29th International Conference on the Physics of Semiconductors,
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01; in: "Book of Ábstracts",
(2008),
375
- 376.
-
G. Fasching, A. Benz, J. Kröll, J. Darmo, C. Deutsch, A.M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"From few-cycle THz pulses to terahertz quantum-cascade lasers";
Talk: 19th International Conference on Applied Electromagnetics and Communications,
Dubrovnik, Croatia (invited);
2007-09-24
- 2007-09-26; in: "Conference Proceedings ICECom 2007",
(2007),
ISBN: 978-953-6037-50-6;
135.
-
G. Fasching, A. Benz, K. Unterrainer, R. Zobl, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Microcavity THz quantum cascade laser";
Talk: Optics East 2005,
Boston, Massachusetts, USA (invited);
2005-10-23
- 2005-10-26; in: "Technical Program",
SPIE,
(2005),
1 pages.
-
G. Fasching, C. Deutsch, A. Benz, A. M. Andrews, P. Klang, R. Zobl, W. Schrenk, G. Strasser, Ragulis, V. Tamosiunas, K. Unterrainer:
"Electrically Controlled Photonic Molecule: Coherent Coupling on Demand";
Talk: The 10th International Conference on Intersubband Transitions in Quantum Wells,
Montreal, Cananda;
2009-09-06
- 2009-09-11; in: "Book of Abstracts",
(2009),
86
- 87.
-
G. Fasching, F. Schrey, W. Brezna, J. Smoliner, G. Strasser, K. Unterrainer:
"Photocurrent spectroscopy of single InAs/GaAs quantum dots";
Talk: Int. Conf. on Superlattices, Nanostructures and Nanodevices (ICSNN),
Cancun, Mexico;
2004-07-19
- 2004-07-23; in: "Programm & Abstracts",
(2004),
119
- 120.
-
G. Fasching, F. Schrey, T. Müller, W. Brezna, M. Austerer, T. Roch, A.M. Andrews, J. Smoliner, G. Strasser, K. Unterrainer:
"Photocurrent spectroscopy of single InAs/GaAs quantum dots";
Poster: GMe Forum 2005,
Vienna, Austria;
2005-03-17
- 2005-03-18; in: "Proceedings of the Seminar at the Vienna University Of Technology",
(2005),
ISBN: 3-901578-15-3;
129
- 132.
-
G. Fasching, F. Schrey, K. Unterrainer, T. Roch, A.M. Andrews, W. Brezna, J. Smoliner, G. Strasser:
"Photocurrent spectroscopy and AFM analysis of buried single quantum dots";
Poster: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien;
2005-09-27
- 2005-09-29; in: "Book of Abstract",
(2005),
186.
-
G. Fasching, F. Schrey, K. Unterrainer, T. Roch, A.M. Andrews, W. Brezna, J. Smoliner, G. Strasser:
"Single InAs/GaAs quantum dots: Photocurrent and cross-sectional AFM analysis";
Poster: 12th International Conference on Modulated Semiconductor Structures,
Albuquerque, New Mexico, USA;
2005-07-10
- 2005-07-15; in: "Final Program and Abstracts",
(2005),
Paper ID TUE-PB1,
1 pages.
-
G. Fasching, V. Tamosiunas, A. Benz, A.M. Andrews, C. Deutsch, K. Unterrainer, R. Zobl, P. Klang, W. Schrenk, G. Strasser:
"Ultra-compact low threshold wispering-gallery terahertz quantum-cascade lasers";
Talk: IRMMW-THz 2007,
Cardiff, UK;
2007-09-03
- 2007-09-07; in: "Conference Digest",
Vol 1, 07EX1863
(2007),
ISBN: 1-4244-1438-5;
951
- 952.
-
G. Fasching, V. Tamosiunas, A. Benz, A.M. Andrews, C. Deutsch, K. Unterrainer, R. Zobl, W. Schrenk, G. Strasser:
"Dynamical frequency pulling of degenerated and nondegenerated modes in small mode volume whispering-gallery terahertz quantum-cascade lasers";
Talk: International Conference on Electronic Properties of Two-Dimensional Systems and Modulated Semiconductor Structures,
Genova, Italy;
2007-07-15
- 2007-07-20; in: "Abstract Book",
(2007),
389
- 390.
-
G. Fasching, V. Tamosiunas, A. Benz, A.M. Andrews, C. Deutsch, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
""Single-mode" wispering-gallery Terahertz quantum-cascade lasers with controlled degeneracy";
Poster: 2007 9th International Conference on Transparent Optical Networks,
Rome, Italy;
2007-07-01
- 2007-07-05; in: "Proceedings of 2007 9th International Conference on Transparent Optical Networks",
(2007),
ISBN: 1-4244-1248-x;
180
- 183.
-
G. Fasching, V. Tamosiunas, A. Benz, A.M. Andrews, K. Unterrainer, R. Zobl, W. Schrenk, G. Strasser:
"Wispering-Gallery quantum-cascade lasers in the terahertz frequency regime";
Poster: ITQW07,
Leeds, UK;
2007-09-09
- 2007-09-14; in: "The Proceedings of The Ninth International Conference on Intersubband Transitions in Quantum Wells",
(2007),
2 pages.
-
G. Fasching, R. Zobl, A. Benz, V Tamosiunas, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser, K. Unterrainer:
"Microdisk and microring THz quantum cascade laser";
Talk: 8th International Conference on Intersubband Transitions in Quantum Wells,
Cape Cod, MA, USA;
2005-09-11
- 2005-09-16; in: "Program and Abstracts",
(2005),
Paper ID ITQW8,
2 pages.
-
G. Fasching, R. Zobl, A. Benz, K. Unterrainer, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser, V Tamosiunas:
"Microcavity THz Quantum Cascade Laser";
Talk: 12th International Conference on Modulated Semiconductor Structures,
Albuquerque, New Mexico, USA;
2005-07-10
- 2005-07-15; in: "Final Program and Abstracts",
(2005),
Paper ID THU-J3,
1 pages.
-
G. Fasching, R. Zobl, V Tamosiunas, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, L. Pfeiffer, K. West, F. Capasso:
"Terahertz quantum cascade lasers operating in magnetic fields";
Poster: GMe Forum 2005,
Vienna;
2005-03-17
- 2005-03-18; in: "Proceedings of the Seminar at the Vienna University Of Technology",
(2005),
ISBN: 3-901578-15-3;
71
- 74.
-
N. Finger, P.O. Kellermann, W. Schrenk, E. Gornik:
"Analysis of surface mode coupled semiconductor laser structures with adjustable emission wavelength";
Talk: SPIE Conference,
Boston;
1999-07-01; in: "Proceedings of SPIE 1999",
Proceedings of SPIE,
3625
(1999),
269.
-
N. Finger, W. Schrenk, E. Gornik:
"Surface-Grating Couped Qantum Cascade Laser Structures";
Talk: CLEO Europe 2000,
Nice, France;
2000-09-10
- 2000-09-15; in: "CLEO Europe/IQEC 2000 Technical Digest",
(2000),
85.
-
M. Fischer, J. Gottsbachner, S. Müller, W. Brezna, H. D. Wanzenböck:
"Direct-Write Deopsition Utilising a Focused Electron Beam";
Poster: GMe Forum 2005,
Wien, Österreich;
2005-03-17
- 2005-03-18; in: "Proceedings GME Forum",
(2005),
179
- 183.
-
M. Fischer, H. D. Wanzenböck, J. Gottsbachner, S. Müller, W. Brezna, M. Schramböck, E. Bertagnolli:
"Direct-Write DepostitionUtilization with Focused Ion Beam";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
2005-09-19
- 2005-09-22; in: "Proceedings of the International Conference on Micro- and Nano-Engineering",
(2005),
ISBN: 3-901578-15-3;
179
- 183.
-
W. Fischler, R. Bratschitsch, R.A. Höpfel, G. Zandler, K. Unterrainer:
"Coherent THz-plasmons in AlGaAs/GaAs heterostructures";
Talk: International Quantum Electronics Conference,
San Francisco;
1998-09-01; in: "Proc. Intern. Quantum Electronics Conference ´98",
(1998),
151.
-
W. Fischler, R. Bratschitsch, R.A. Höpfel, G. Strasser, K. Unterrainer:
"Oscillatory electron transport in GaAs/AlGaAs Superlattices";
Talk: European Quantum Electronics Conference,
Glasgow;
1998-09-14; in: "Proc. European Quantum Electronics Conference",
(1998),
1.
-
C. Fleury, S. Bychikhin, M. Cappriotti, O. Hilt, R. Zhytnytska, J. Würfl, J. Derluyn, D. Visalli, G. Strasser, D. Pogany:
"Localization Of Vertical Breakdown Spots In Normally-Off And Normally-On Algan/gan Hemts On Sic And Si Substrates";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Warnemüde, Deutschland;
2013-05-26
- 2013-05-29; in: "WOCSDICE 2013",
(2013),
ISBN: 978-3-00-041435-0;
159
- 160.
-
C. Fleury, S. Bychikhin, O. Hilt, J. Würfl, G. Strasser, D. Pogany:
"Transient Thermal Mapping Of P-Gan Gate Normally-Off Algan/gan Transistors";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Warnemüde, Deutschland;
2013-05-26
- 2013-05-29; in: "WOCSDICE 2013",
(2013),
ISBN: 978-3-00-041435-0;
69
- 70.
-
M. Franckié, B. Meng, B. Hinkov, N. Biavan, H.T. Hoang, D. Lefebvre, M. Hugues, D. Stark, A. Torres-Pardo, J. Tamayo-Arriola, M. Bajo, A. Hierro, G. Strasser, J. Faist, J. Chauveau:
"Terahertz intersubband Electroluminescence from Nonpolar m-Plane ZnO Quantum Cascade Structures";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
40.
-
J. Franco, B. Kaczer, M. Toledano-Luque, Ph. J. Roussel, G. Groeseneken, B. Schwarz, M. Bina, M. Waltl, P.-J. Wagner, T. Grasser:
"Reduction of the BTI Time-Dependent Variability in Nanoscaled MOSFETs by Body Bias";
Talk: International Reliability Physics Symposium (IRPS),
Monterey, CA, USA;
2013-04-14
- 2013-04-18; in: "Proceedings of the International Reliability Physics Symposium (IRPS)",
(2013),
1
- 6.
-
C. Fürböck, N. Seliger, D. Pogany, M. Litzenberger, E. Gornik, M. Stecher, H. Goßner, W. Werner:
"Backside Laserprober Characterization of Thermal Effects during High Current Stress in Smart Power ESD Protection Device";
Talk: IEEE International Electron Devices Meeting (IEDM),
San Francisco;
1998-07-01; in: "Proc. IEDM Techn. Dig.",
(1998),
691
- 694.
-
M. M. Furchi, A. Urich, A. Pospischil, G. Lilley, K. Unterrainer, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, T. Müller:
"Graphene-based photodetectors for optical communications";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2012-02-12
- 2012-02-17; in: "Proceedings of 17th International Winterschool on New Developments in Solid State Physics",
(2012).
-
M. M. Furchi, A. Urich, A. Pospischil, G. Lilley, K. Unterrainer, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, T. Müller:
"Resonant Cavity Enhanced Graphene Photodetectors";
Poster: International Conference on Superlattices, Nanostructures, and Nanodevices (ICSNN2012),
Dresden;
2012-07-22
- 2012-07-27; in: "Technical Digest",
(2012),
198
- 199.
-
R. Gansch, S. Kalchmair, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Photonic Crystal Slabs for Resonant Cavity Enhancement of Quantum Well Infrared Photodetectors";
Talk: International Symposium on Photonic and Electromagnetic Crystal Structures,
Santa Fe, New Mexico, USA;
2012-06-03
- 2012-06-08; in: "PECS -X: 10th International Symposium on Photonic and Electromagnetic Crystal Structures",
(2012),
82
- 83.
-
R. Gansch, S. Kalchmair, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Stacked Photonic Crystal Slabs as Resonant Cavities for Multicolor Quantum Well Infrared Photodetectors";
Talk: QSIP Quantum Structured Infrared Photodetectors,
Cargése, Frankreich;
2012-06-17
- 2012-06-22; in: "QSIP12",
(2012),
48.
-
R. Gansch, S. Kalchmair, G. Strasser:
"Numerical Study of Photonic Crystal SLAB based Quantum Well Infrared Photodetectors";
Poster: 60th Annual Meeting Austrian Physical Society,
Salzburg;
2010-09-06
- 2010-09-10; in: "60th Annual Meeting Austrian Physical Society",
(2010),
183.
-
W. Gao, X. Wang, R. Chen, G. Strasser, J. Bird, J. Kono:
"Electroluminescence from a GaAs/AlGaAs Heterostructure at High Electric Fields: Evidence for Real- & κ-Space Transfer";
Talk: CLEO 2014,
San Jose;
2014-06-08
- 2014-06-13; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
2 pages.
-
O. Gauthier-Lafaye, B. Seguin-Roa, F.H. Julien, G. Strasser, P. Collot, C. Sirtori, J-Y Duboz:
"Long-wavelength High-power Quantum Fountain Unipolar Lasers in GaAs/AlGaAs Quantum Wells";
Talk: SPIE Conference,
Boston;
1999-07-01; in: "Proc. of SPIE",
3828
(1999),
6.
-
M. Gavagnin, H. D. Wanzenböck, S. Wachter, M. Shawrav, A. Persson, K. Gunnarsson, P. Svedlindh, M. Stöger-Pollach, E. Bertagnolli:
"3-dimensional nanomagnet logic arrays by direct write focused electron beam induced deposition";
Talk: 4th ASEM-Workshop,
University of Vienna;
2014-05-08
- 2014-05-09; in: "4th ASEM-Workshop on Advanced Electron Microscopy",
(2014).
-
T. Gebhard, F.F. Schrey, G. Strasser, K. Unterrainer, M. Pires, S. Landi, P. Souza:
"Intraband transitions in InAs/InGaAs/InP quantum dots for infrared photodetection";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
2006-02-13
- 2006-02-17; in: "Book of Abstract",
(2006),
81
- 82.
-
T. Gebhard, P. Souza, F. Schrey, G. Strasser, K. Unterrainer:
"Polarization dependence of absorption and photocurrent in QDIPs";
Poster: 28th International Conference on the Physics of Semiconductors,
Vienna, Austria;
2006-07-24
- 2006-07-28; in: "Book of Abstracts",
(2006),
76.
-
T. Gebhard, P. Souza, F. Schrey, G. Strasser, K. Unterrainer, M. Pires, S. Landi, A.J. Lopes, J.M. Villas-Boas, N. Studart:
"Polarization dependence of intraband transitions in QDIPs";
Poster: International Conference on Superlattices, Nano-Structures and Nano-Devices,
Istanbul, Türkei;
2006-07-30
- 2006-08-04; in: "Abstract Book",
(2006),
187.
-
T. Gebhard, P. Souza, F.F. Schrey, G. Strasser, K. Unterrainer, M. Pires, S. Landi, J.M. Villas-Boas, N. Studart:
"Polarization Dependence of Photocurrent in Quantum-Dot Infrared Photodetectors";
Poster: GMe Workshop 2006,
Wien;
2006-10-13; in: "GMe Workshop 2006 Proceedings",
K. Riedling (ed.);
(2006),
ISBN: 978-3-901578-17-5;
47
- 51.
More information
-
A. Gehring, S. Harasek, E. Bertagnolli, S. Selberherr:
"Evaluation of ZrO2 Gate Dielectrics for Advanced CMOS Devices";
Poster: European Solid-State Device Research Conference (ESSDERC),
Estoril;
2003-09-16
- 2003-09-18; in: "Proceedings of the European Solid-State Device Research Conference (ESSDERC)",
(2003),
ISBN: 0-7803-7999-3;
473
- 476.
-
Z. Geokdeniz, G. Khatibi, J. Nicolics, A. Steiger-Thirsfeld:
"Behavior of silver-sintered joints by cycling mechanical loading and influence of temperature";
Poster: EMPC, 22nd Microelectronics an Packaging Conference (EMPC) & Exhibition,
Pisa, Italy;
2019-09-16
- 2019-09-19; in: "EMPC, 22nd Microelectronics an Packaging Conference (EMPC) & Exhibition",
IEEE (ed.);
IEEE- Explore,
(2019),
1
- 6.
-
S. Gianordoli, L. Hvozdara, G. Strasser, W. Schrenk, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs based micro lasers emitting at 10 µm and 13 µm";
Talk: LEOS,
San Diego;
1999-07-01; in: "Proc. LEOS `99",
(1999),
ISBN: 0-7803-5634-9;
9.
-
S. Gianordoli, L. Hvozdara, G. Strasser, W. Schrenk, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs Interminiband Unipolar Semiconductor Laser 13 µm";
Talk: European Solid-State Device Research Conference (ESSDERC),
Leuven;
1999-09-13
- 1999-09-15; in: "Proc. 29th ESSDERC",
(1999),
512.
-
M Giparakis, M. A. Kainz, M Beiser, K. Unterrainer, G. Strasser, A. M. Andrews:
"Investigation of the optimum phonon depopulation energy separation in a GaAs/AlGaAs superlattice";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
87.
-
M Giparakis, H. Knötig, M Beiser, H. Detz, W. Schrenk, B. Schwarz, G. Strasser, A. M. Andrews:
"InAs/AlAsSb Quantum Cascade Detector Below 3 μm";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
41.
-
M Giparakis, H. Knötig, M Beiser, H. Detz, W. Schrenk, B. Schwarz, G. Strasser, A. M. Andrews:
"InAs/AlAsSb-Based Quantum Cascade Detector at 2.7 µm";
Poster: CLEO/Europe EQEC 2021,
Virtual Conference;
2021-06-21
- 2021-06-25; in: "Proceedings of Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference",
(2021),
Paper ID CH-P.22,
1 pages.
-
M Giparakis, H. Knötig, M Beiser, J. Hillbrand, H. Detz, W. Schrenk, B. Schwarz, G. Strasser, A. M. Andrews:
"2.7 μm short-wavelength InAs/AlAsSb quantum cascade detector";
Poster: Online Conference International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
2020-09-07
- 2020-09-10; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
More information
-
O. Glushko, R. Meisels, S. Kalchmair, G. Strasser:
"Enhanced optical transmission through the sub-wavelength holes due to the coupling of light to photonic crystal modes";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "16th International Winterschool Mauterndorf",
(2010),
207.
-
Z. Gökdeniz, G. Khatibi, C. Gierl-Mayer, A. Steiger-Thirsfeld, M. Mündlein:
"Temperature Dependent Physical Properties of Sintered Silver Layers for Power Electronics";
Talk: 43rd International Spring Seminar on Electronics Technology - 2020,
Demanovska Valley, Slovakia;
2020-05-14
- 2020-05-15; in: "Proceedings of the 43rd International Spring Seminar on Electronics Technology - 2020",
IEEE,
(2020),
ISBN: 978-1-7281-6773-2;
1
- 8.
More information
-
Z. Gökdeniz, G. Khatibi, T. Walter, J. Nicolics:
"Temperature Dependent Mechanical Properties of Sintered Silver-Copper Joints";
Talk: 41st International Spring Seminar on Electronics Technology (ISSE),
Zlatibor;
2018-05-16
- 2018-05-20; in: "Book of Abstracts ISSE 2018",
IEEE,
(2018),
ISBN: 978-1-5386-5731-7;
57
- 58.
-
Z. Gökdeniz, M. Mündlein, G. Khatibi, A. Steiger-Thirsfeld, J. Nicolics:
"Ermüdungsverhalten hoch-belasteter Ag-Sinterverbindungen";
Talk: EBL 2020 - Elektronische Baugruppen und Leiterplatten,
Fellbach, D;
2020-09-18
- 2020-09-19; in: "EBL 2020 - Elektronische Baugruppen und Leiterplatten",
VDE,
(2020),
ISBN: 978-3-8007-5185-3;
204
- 209.
More information
-
S. Golka, M. Austerer, C. Pflügl, W. Schrenk, G. Strasser:
"Processing of Deeply etched GaAs/AlGaAs quantum casdade lasers with grating structures";
Talk: 2004 MRS Fall Meeting,
Boston, USA;
2004-11-29
- 2004-12-03; in: "Mater. Res. Soc: Symp. Proc. Vol 829",
(2004),
1
- 5.
-
S. Golka, L. Hoffmann, M. Austerer, C. Pflügl, W. Schrenk, G. Strasser:
"Deep etching of waveguiding structures in intersubband devices";
Poster: Workshop of the Austrian Networks for Nanosciences and Nanotechnology,
Krems;
2006-11-21
- 2006-11-22; in: "Abstract Book",
(2006),
96
- 97.
-
S. Golka, G. Pozzovivo, W. Schrenk, G. Strasser, C. Skierbiszewski, M. Siekacz, I. Grzegory, S. Porowski:
"Dislocation-Free GaN/AlGaN Double-Barrier Diodes Grown on Bulk GaN";
Poster: GMe Workshop 2006,
Wien;
2006-10-13; in: "GMe Workshop 2006 Proceedings",
K. Riedling (ed.);
(2006),
ISBN: 978-3-901578-17-5;
31
- 34.
-
S. Golka, W. Schrenk, G. Strasser:
"High aspect ratio etching with SiCl4 plasma for THz Photonic Crystals in GaAs";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Smolenice Castle, Slovakia;
2004-05-17
- 2004-05-19; in: "Proceeding of WOCSDICE 2004",
(2004),
127
- 128.
-
S. Golka, W. Schrenk, G. Strasser:
"Inductively Coupled Plasma Reactive Ion Etching of GaN";
Poster: GMe Forum 2005,
Wien, Österreich;
2005-03-17
- 2005-03-18; in: "GME Forum Proceedings",
(2005),
189
- 192.
-
A. Golshani, R. Hainberger, S. Freisleben, A. Köck, E. Gornik, C. Gmachl, L. Korte:
"Efficient surface emitting AlGaAs-GaAs laser diodes based on first-order-grating-coupled surface mode emission";
Talk: GAAS,
München;
1996-07-01; in: "Proc. of GAAS ´96",
(1996),
1
- 4.
-
A. Golshani, P.O. Kellermann, A. Köck, E. Gornik, L. Korte:
"5 wavelength surface emitting laser diode array based on post growth adjustment of surface emission wavelength";
Talk: IEEE Conference,
Boston;
1997-07-01; in: "Proc. IEEE",
(1997),
61
- 63.
-
E. Gornik, A.M. Andrews, M. Schramböck, G. Strasser:
"Growth of InAs quantum dots for intersubband applications";
Talk: Semiconducting and Insulating Materials Conference,
Fayetteville, USA (invited);
2007-05-15
- 2007-05-20; in: "Semiconducting and Insulating Materials Conference - abstracts",
(2007).
-
E. Gornik, C.M. Engelhardt, G. Abstreiter:
"Cyclotron Resonance of N-GaAs Single Quantum Wells - Localization and Scattering Effects";
Talk: International Conference on Physics of Semiconductor (ICPS),
Jerusalem;
1998-08-03
- 1998-08-09; in: "Proc. ICPS 24",
(1998),
1.
-
E. Gornik, W. Heiss, K. Unterrainer:
"Far-Infrared Germanium Cyclotron Resonance Lasers";
Talk: International Device Research Symposium,
Boston;
1995-07-01; in: "Proc. Of 1995 International Device Research Symposium",
(1995),
495.
-
E. Gornik, J. Hillbrand, A. M. Andrews, R. Weih, S. Höfling, G. Strasser, B. Schwarz:
"Monolithic Frequency Comb Generation and High-speed Detection based on Interband Cascade Structures";
Talk: EDISON 21,
Nara;
2019-07-14
- 2019-07-19; in: "EDISON 21",
(2019),
87.
-
E. Gornik, C. Rauch, G. Strasser:
"Transition from coherent to incoherent superlattice transport";
Talk: International Symposium on Nanostructures,
St. Petersburg;
1998-06-22
- 1998-06-26; in: "Proc. 6th Intern. Symposium on Nanostructures",
(1998),
1.
-
E. Gornik, V. Rosskopf, P. Auer, J. Smoliner, C. Wirner, W. Boxleitner, R Strenz, G. Weimann:
"Wire and Dot Related Devices";
Talk: International Conference on Physics of Semiconductor (ICPS),
Berlin;
1996-07-21; in: "ICPS 23 Proceeding",
(1996),
159.
-
H.-J. Gossmann, C.S. Rafferty, G. Hobler, H.-H. Vuong, D.C. Jacobson:
"Suppression of reverse short channel effect by a buried carbon layer";
Talk: IEEE Conference,
Piscataway;
1999-07-01; in: "IEDM Techn. Dig.",
(1999),
725
- 728.
-
R. Green, L.R. Wilson, D.G. Revin, E.A. Zibik, J.W. Cockburn, A.B. Krysa, C.M. Tey, J.S. Roberts, A.G. Cullis, C. Pflügl, W. Schrenk, G. Strasser, P. Offermans, P.M. Koenraad:
"MOVPE Grown Quantum Cascade Lasers: Single Mode Performance and Structural Quality";
Talk: Conf. on Lasers and Electro-Optics,
Baltimore, Maryland, USA;
2005-05-22
- 2005-05-27; in: "Technical Digest CD",
(2005),
ISBN: 1-55752-770-9.
-
R. Green, L.R. Wilson, D.G. Revin, E.A. Zibik, J.W. Cockburn, P. Offermans, P.M. Koenraad, J. Wolter, C. Pflügl, W. Schrenk, G. Strasser, C.M. Tey, A.B. Krysa, J.S. Roberts, A.G. Cullis:
"Single mode performance and structural quality of MOVPE grown InP based quantum cascade lasers";
Talk: International Conference on Indium Phosphide an Related Materials,
Glasgow, Scotland;
2005-05-08
- 2005-05-12; in: "Proceedings of 2005-International-Conference-on-Indium-Phosphide-and-Related-Materials",
(2005),
ISBN: 0-7803-8891-7;
64
- 67.
-
P. Habas, G. Groeseneken, G. Van den Bosch, H.E. Maes, E. Gornik:
"Detailed Study of the Parasitic Geometric Current Component in Charge Pumping Measurements";
Talk: Semiconductor Interface Specialists Conference,
Charleston;
1997-12-01; in: "Proc. of Semiconductor Interface Specialists Conf.",
(1997),
1.
-
C. Hahn, K. Becker, M. Pende, S. Saghafi, I. Sabdyusheva-Litschauer, H. Dodt:
"Optical tissue clearing using stabilized organic media allows bleaching- free deep-tissue imaging of fluorescent whole mouse brain";
Poster: Molecular and Cellular Mechanisms of Neural Circuit Assembly,
Klosterneuburg;
2017-09-11
- 2017-09-14; in: "AXON 2017 Program and Abstracts",
(2017),
47.
-
R. Hainberger, N. Finger, A. Golshani, A. Köck, E. Gornik, C. Gmachl, L. Korte:
"A new concept for a direct optical free-space interconnect without lenses";
Talk: European Solid-State Device Research Conference (ESSDERC),
Berlin;
1996-09-01; in: "Proc. of ESSDERC ´96",
(1996),
537
- 540.
-
S. Halama, G. Hobler, K. Wimmer, S. Selberherr:
"Eine neue Methode zur Simulation der Diffusion in allgemeinen Strukturen";
Talk: Seminar Grundlagen und Technologie elektronischer Bauelemente,
Großarl;
1991-03-20
- 1991-03-23; in: "Tagungsbericht Seminar Grundlagen und Technologie elektronischer Bauelemente",
(1991),
20
- 26.
-
S. Halama, K. Wimmer, G. Hobler, S. Selberherr:
"Finite-Differenzen Dreiecksnetzgenerierung für die Prozess-Simulation mit PROMIS";
Talk: Workshop Numerische Simulation für Technologieentwicklung (NuTech),
Garmisch-Partenkirchen;
1990-09-20
- 1990-09-21; in: "Proceedings NuTech",
(1990),
3.
-
G. Hanreich, S. Bychikhin, D. Pogany, M. Marso, P. Kordos, J. Nicolics:
"Thermal Simulation and Charakterization of AlGaN/GaN/Si High Electron Mobility Transistors";
Poster: ISSE 2005 - 28th International Spring Seminar on Electronics Technology,
Wiener Neustadt;
2005-05-19
- 2005-05-22; in: "ISSE 2005 - 28th International Spring Seminar on Electronics Technology",
Österreichischer Verband für Elektrotechnik, Wien,
ÖVE Schriftenreihe Nr. 39
(2005),
ISBN: 3-85133036-6;
106
- 107.
More information
-
S. Harasek, S. Golka, J. Smoliner, E. Bertagnolli:
"Ultrathin Silicon Dioxide: Growth and Characterization";
Poster: GMe Forum 2001,
Wien;
2001-04-05
- 2001-04-06; in: "Ultrathin Silicon Dioxide: Growth and Characterization",
(2001),
111
- 115.
-
L. Harmatha, L. Stuchlikova, O. Csabay, L. Hvozdara, I. Thurzo, G. Strasser:
"Electrical Characterization of MBE Grown AlGaAs/GaAs Heterostructures";
Talk: EDS 96,
Brno;
1996-07-01; in: "Proc. Electronic Devices and Systems Conference",
(1996),
10.
-
A. Harrer, B. Schwarz, R. Gansch, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared Plasmonic Lens Intersubband Photodetector";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2014-02-23
- 2014-02-28; in: "New Developments in Solid State Physics - Mauterndorf 2014",
(2014),
108
- 109.
-
A. Harrer, B. Schwarz, P. Reininger, R. Szedlak, T. Zederbauer, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser:
"An optimized bi-functional material for integrated mid-infrared quantum cascade based sensors";
Talk: Photonics West 2016,
San Franciso, California, USA;
2016-02-13
- 2016-02-18; in: "digital digest",
(2016),
Paper ID 9767-49,
1 pages.
-
A. Harrer, B. Schwarz, S. Schuler, P. Reininger, A. Wirthmüller, H. Detz, D. MacFarland, T. Zederbauer, A. M. Andrews, M. Rothermund, H. Oppermann, W. Schrenk, G. Strasser:
"Quantum cascade detector at 4.3μm wavelength in pixel array configuration";
Talk: SPIE Photonics West 2017,
San Francisco;
2017-01-28
- 2017-02-02; in: "Conference Proceedings",
(2017),
320.
-
A. Harrer, B. Schwarz, R. Szedlak, D. Ristanic, H. Detz, A. M. Andrews, T. Zederbauer, D. MacFarland, W. Schrenk, G. Strasser:
"Quantum Cascade devices: from discrete to integrated systems";
Talk: 13th International Conference on Mid-IR Optoelectronics: Material and Devices (MIOMD-XIII),
Beijing (invited);
2016-09-18
- 2016-09-22; in: "Conference Book MIOMD-XIII",
(2016),
62.
-
A. Harrer, B. Schwarz, R. Szedlak, S. Schuler, H. Detz, A. M. Andrews, T. Zederbauer, D. MacFarland, W. Schrenk, G. Strasser:
"High performance quantum cascade detector array for CO2 detection";
Poster: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
2016-09-04
- 2016-09-09; in: "Technical Digest",
(2016),
Paper ID P2.12,
2 pages.
-
A. Harrer, R. Szedlak, B. Schwarz, H. Moser, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"A mid-infrared gas-sensing device based on bi-functional quantum cascade structures";
Poster: 19th International Winterschool - New Developments in Solid State Physics,
Mauterndorf;
2016-02-21
- 2016-02-26; in: "Digital digest",
(2016),
Paper ID P 1.6.8,
1 pages.
-
A. Harrer, R. Szedlak, B. Schwarz, H. Moser, T. Zederbauer, D. MacFarland, H. Detz, A. M. Andrews, B. Lendl, G. Strasser:
"Mid-infrared bi-functional quantum cascade sensor for long interaction regions";
Talk: International Conference Functional Integrated nano Systems,
Graz;
2016-06-27
- 2016-06-29; in: "Conference Documentation",
(2016).
-
N.E. Hecker, R.A. Höpfel, N. Sawaki, T. Maier, G. Strasser:
"Large enhancement in quantum well luminescence intensity due to surface plasmons excited on a metallic grating";
Talk: International Conference of the Physics of Semiconductors,
Jerusalem;
1998-08-03; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
1.
-
M. Heer, S. Bychikhin, V. Dubec, D. Pogany, E. Gornik, L. Zullino, A. Andreini, G. Meneghesso:
"Analysis of triggering behavior of low voltage BCD single and multi-finger gc-NMOS ESD protection devices";
Talk: EOS/ESD Symposium 2006,
Tucson, USA;
2006-09-10
- 2006-09-15; in: "Proceedings of the EOS/ESD Symposium 2006",
(2006),
275
- 284.
-
M. Heer, D. Pogany, M. Street, I. Smith, F. Riedlberger, D. Bonfert, H. Gieser:
"Transient latch-up analysis of power control device with combined light emission and backside transient interferometric mapping methods";
Talk: International Symposium for Testing and Failure Analysis,
Portland, USA;
2008-11-02
- 2008-11-06; in: "Proceedings from the 34th International Symposium for Testing and Failure Analysis",
(2008),
ISBN: 9780871707147;
6 pages.
-
R. Heer, J. Smoliner, J. Bornemeier, H. Brückl:
"Temperature Dependent Ballistic Electron Transport in Spin Valve Transistors";
Talk: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Chicago, USA;
2005-07-24
- 2005-07-29; in: "Nonequilibrium Carrier Dynamics in Semiconductors/Proceedings of the 14 International Conference",
(2006),
159
- 162.
-
R. Heer, J. Smoliner, G. Strasser, E. Gornik:
"A highly transmittive semiconductor base for Ballistic Electron Emission Microscopy";
Talk: SXM3,
Basel;
1998-07-01; in: "Proc. SXM3",
(1998),
1.
-
R. Heer, J. Smoliner, G. Strasser, E. Gornik:
"Enhanced Energy Resolution in Ballistic Electron Emission Microscopy through InAs Base Layers";
Talk: Aktuelle Entwicklungen der Mikroelektronik,
Bad Hofgastein;
1999-03-03
- 1999-03-06; in: "Current Developments of Microelectronics",
(1999),
ISBN: 3-901578-04-8;
181.
-
R. Heer, J. Smoliner, G. Strasser, E. Gornik:
"Temperature dependent BEEM studies on InAs/GaAs heterostructures";
Talk: International Conference of the Physics of Semiconductors,
Jerusalem;
1998-08-03; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
1.
-
W. Heiss, B. Murdin, C. Langerak, G.M.H. Knippels, I. Maran, K. Unterrainer, E. Gornik, C.R. Pidgeon, N.J. Hovenier:
"Electronic lifetimes in excited state Landau levels in GaAs/AlGaAs heterostructures";
Talk: Intern. Conf. High Magnetic Fields in Semiconductor Physics,
Singapore;
1995-10-15; in: "Proc. Of 11th Int. Conf. High Magnetic Fields in Semiconductor Physics",
(1995),
366.
-
W. Heiss, B. Murdin, C. Langerak, S.C. Lee, G. Strasser, C.R. Pidgeon, I. Galbraith, E. Gornik, M. Helm:
"The LO-phonon bottleneck in the intersubband cooling in wide quantum wells";
Talk: International Conference on Physics of Semiconductor (ICPS),
Berlin;
1996-07-21
- 1996-07-26; in: "ICPS 23 Proceedings",
World Scientific,
3
(1996),
1915.
-
W. Heiss, K. Unterrainer, E. Gornik, B. Murdin, C.R. Pidgeon:
"Observation of two emission lines in the p-type Ge cyclotron resonance laser";
Talk: International Conference of the Physics of Semiconductors,
San Diego;
1995-07-01; in: "Proc. of the 22nd Intern. Conference on the Physics of Semiconductors",
(1995),
285.
-
M. Helm, W. Hilber, W. Heiss, B. Murdin, G. Strasser, E. Gornik, C. Langerak, C.R. Pidgeon:
"Energy relaxation of electrons in GaAs/AlGaAs quantum wells and superlattices";
Talk: ITQW,
Tainan, Taiwan;
1997-12-15; in: "Proc. ITQW´97",
(1997),
20.
-
M. Helm, G. Strasser:
"Correlation of vertical transport and infrared absorption in GaAs/AlGaAs superlattices";
Poster: International Conference on Physics of Semiconductor (ICPS),
Osaka;
2000-09-18
- 2000-09-22; in: "Proc. 25th Int. Conf. Phys. Semicond.",
Springer,
87
(2001),
715.
-
C. Henkel, S. Abermann, O. Bethge, M. Reiche, E. Bertagnolli:
"Atomic Layer Deposition of High-k/Metal Gate Stack MOSFET-Devices on Strained Silicon-on-Insulator Substrates";
Talk: Pacific Rim Meeting on Electrochemical and Solid-State Science,
Honolulu, HI;
2008-10-12
- 2008-10-17; in: "Abstracts",
(2008).
-
C. Henkel, S. Abermann, O. Bethge, M. Reiche, E. Bertagnolli:
"Process integration of Pt-Metal-Gate high-k ALD dielectrics on sSOI";
Poster: GMe Forum 2008,
Wien;
2008-11-13
- 2008-11-14; in: "GMe Forum 2008",
(2008),
17.
-
C. Henkel, P. Hellström, M. Östling, O. Bethge, M. Stöger-Pollach, E. Bertagnolli:
"Impact of Oxidation and Reduction Annealing on the Electrical Properties of Ge/La2O3/ZrO2 Gate Stacks";
Talk: European Solid-State Device Research Conference (ESSDERC),
Helsinki, Finland;
2011-09-12
- 2011-09-16; in: "ESSDERC 2011 - 41st European Solid State Device Research Conference",
(2011),
75
- 78.
-
J.N. Heyman, R. Kersting, G. Strasser, K. Unterrainer, K.D. Maranowski, A.C. Gossard:
"THz Time-domain spectroscopy of intersubband transitions";
Talk: International Conference of the Physics of Semiconductors,
Jerusalem;
1998-08-03; in: "Proc. 24th Intern. Conference on the Physics of Semiconductors",
(1998),
1.
-
J.N. Heyman, R. Kersting, K. Unterrainer, G. Strasser, E. Gornik:
"Time-domain measurements of intersubband oscillations";
Talk: OSA Workshop on Radiative Processes and Dephasing in Semiconductors,
San Diego;
1998-06-01; in: "Technical Digest of the OSA Workshop",
(1998),
109.
-
J. Hillbrand, A. M. Andrews, H. Detz, H. Schneider, G. Strasser, F. Capasso, B. Schwarz:
"Actively mode-locked mid-infrared quantum cascade laser";
Talk: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
136
- 137.
-
J. Hillbrand, A. M. Andrews, H. Detz, G. Strasser, B. Schwarz:
"Coherent control of quantum cascade laser frequency combs via electrical locking";
Talk: CLEO/Europe-EQEC 2019,
München;
2019-06-23
- 2019-06-27; in: "CLEO/Europe-EQEC 2019",
(2019),
187.
-
J. Hillbrand, D. Auth, M. Piccardo, N Opacak, G. Strasser, F. Capasso, S. Breuer, B. Schwarz:
"In-phase and anti-phase synchronization in a laser frequency comb";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
120
- 121.
More information
-
J. Hillbrand, D. Auth, M. Piccardo, G. Strasser, F. Capasso, S. Breuer, B. Schwarz:
"Frequency comb dynamics of ultrafast quantum dot lasers";
Poster: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
272
- 273.
-
J. Hillbrand, M Beiser, A. Schade, H. Detz, A. M. Andrews, G. Strasser, S. Höfling, B. Schwarz:
"Mid-Infrared Frequency Comb based on Actively Modelocked Interband Cascade Laser";
Talk: ICPS 2018,
Montpellier, France;
2018-07-29
- 2018-08-03; in: "Proceedings of the 34th International Conference on the Physics of Semiconductors",
(2018),
37.
-
J. Hillbrand, M. Bertrand, V. Wittwer, N Opacak, F. Kapsalidis, B. Schwarz, T. Südmeyer, M. Beck, J. Faist:
"Optical injection locking enables coherent dual-comb spectroscopy";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
39.
-
J. Hillbrand, S. Dal Cin, A. M. Andrews, H. Detz, E. Gornik, B. Schwarz, G. Strasser:
"High bandwidth quantum cascade detecors";
Talk: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
174
- 175.
-
J. Hillbrand, S. Dal Cin, B. Schwarz, M Beiser, A. M. Andrews, G. Strasser:
"RF QWIPs for Characterization of mid-IR Frequency Combs";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2018-02-25
- 2018-03-02; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
156
- 157.
-
J. Hillbrand, H. Detz, A. M. Andrews, H. Schneider, R. Weih, F. Capasso, S. Höfling, G. Strasser, B. Schwarz:
"Semiconductor Laser Frequency Combs: From Fundamentals Towards Applications";
Talk: SCIX 2019,
Palm Springs (invited);
2019-10-13
- 2019-10-18; in: "SciX 2019",
(2019),
Paper ID IR-06.4,
1 pages.
-
J. Hillbrand, H. Detz, A. M. Andrews, G. Strasser, B. Schwarz:
"Coherent control of QCL frequency combs for miniaturized dual-comb spectroscopy";
Talk: Photonics West 2019,
San Francisco;
2019-02-02
- 2019-02-07; in: "Proceedings of SPIE",
(2019),
Paper ID 10926-86,
1 pages.
-
J. Hillbrand, P. Jouy, M. Beck, J. Faist:
"Tunable Dispersion Compensation of QCL Frequency Combs";
Talk: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
2018-09-02
- 2018-09-07; in: "Book of Abstracts",
(2018),
2 pages.
-
J. Hillbrand, N Opacak, M. Piccardo, H. Schneider, G. Strasser, F. Capasso, B. Schwarz:
"Active mode-locking of mid-infrared quantum cascade lasers";
Poster: Online Conference International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
2020-09-07
- 2020-09-10; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
-
J. Hillbrand, N Opacak, M. Piccardo, H. Schneider, G. Strasser, F. Capasso, B. Schwarz:
"Actively mode-locked mid-infrared quantum cascade laser";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
130
- 131.
-
J. Hillbrand, N Opacak, M. Piccardo, H. Schneider, G. Strasser, F. Capasso, B. Schwarz:
"Ultrashort pulses from a 8 μm wavelength semiconductor laser";
Talk: Online Conference - OSA Optical Sensors and Sensing Congress 2020,
Vancouver;
2020-06-22
- 2020-06-26; in: "Proceedings Optical Sensors and Sensing Congress",
(2020),
ISBN: 978-1-943580-78-1;
Paper ID EW4H.3,
2 pages.
More information
-
J. Hillbrand, B. Schwarz, H. Detz, A. M. Andrews, G. Strasser:
"High-speed mid-infrared detectors";
Talk: Photonics West 2019,
San Francisco (invited);
2019-02-02
- 2019-02-07; in: "Proceedings of SPIE",
(2019),
Paper ID 10934-102,
1 pages.
-
B. Hinkov, A. Alsalhi, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"ZnO-based Resonant Tunneling Diodes for Quantum Cascade Structures";
Poster: Austrian MBE Workshop 2017,
Wien;
2017-09-28
- 2017-09-29; in: "Austrian MBE Workshop",
(2017),
70.
-
B. Hinkov, M. David, F. Pilat, L. Lux, P. Souza, A. Schwaighofer, B. Schwarz, H. Detz, A. M. Andrews, B. Lendl, G. Strasser:
"Mid-IR plasmonics for next generation liquid sensing";
Talk: 10th SciX Conference 2021,
Rhode Island (invited);
2021-09-26
- 2021-10-01; in: "10th SciX Conference",
(2021),
Paper ID IR-04.4,
1 pages.
-
B. Hinkov, J. Hayden, R. Szedlak, P. Martin-Mateos, P. Acedo, B. Lendl, G. Strasser:
"High-frequency modulation of thermal design of quantum cascade lasers";
Poster: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
2018-09-02
- 2018-09-07; in: "Book of Abstracts",
(2018),
2 pages.
-
B. Hinkov, J. Hayden, R. Szedlak, P. Martin-Mateos, B Jerez, P. Acedo, B. Lendl, G. Strasser:
"High frequency modulation of mid-IR ring and ridge DFB Quantum Cascade Lasers";
Poster: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
204
- 205.
-
B. Hinkov, J. Hayden, R. Szedlak, P. Martin-Mateos, B Jerez, P. Acedo, G. Strasser, B. Lendl:
"Rf modulation of surface-emitting mid-IR ring DFB Quantum Cascade Lasers";
Talk: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
2019-08-26
- 2019-08-30; in: "Gemeinsame Jahrestagung in Zürich ÖPG, SPS",
(2019),
53.
-
B. Hinkov, J. Hayden, R. Szedlak, F. Pilat, P. Martin-Mateos, B Jerez, P. Acedo, G. Strasser, B. Lendl:
"High frequency modulation characteristics of mid-infrared ring quantum cascade lasers";
Poster: CLEO/Europe-EQEC 2019,
München;
2019-06-23
- 2019-06-27; in: "CLEO/Europe-EQEC 2019",
(2019),
132.
-
B. Hinkov, H.T. Hoang, D. Ristanic, M. Hugues, J. Chauveau, G. Strasser:
"Fabrication and surface-leakage suppression in (non-polar) m-Zn(Mg)O optoelectronic devices";
Talk: Online Conference - Photonics West 2021,
California (invited);
2021-03-06
- 2021-03-12; in: "Proceedings Volume 11687, Oxide-based Materials and Devices XII",
(2021),
Paper ID 1168715,
1 pages.
More information
-
B. Hinkov, H.T. Hoang, G. Strasser, B. Meng, D. Stark, M. Franckié, J. Faist, N. Biavan, D. Lefebvre, M. Hugues, J. Chauveau, A. Jolliver, S. Pirotta, M. Tchernycheva, R. Colombelli, A. Torres-Pardo, J. Tamayo-Arriola, M. Bajo, A. Hierro:
"THz and mid-infrared optoelectronic devices based on non-polar ZnO";
Talk: Compound Semiconductor Week (CSW),
Stockholm;
2021-05-09
- 2021-05-13; in: "Online Conference - CSW 2021",
(2021),
Paper ID WeA2.4,
1 pages.
-
B. Hinkov, H.T. Hoang, D Winter, A. Alsalhi, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"ZnO-based Resonant Tunneling Diode Structures";
Talk: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
2018-09-02
- 2018-09-07; in: "Book of Abstracts",
(2018),
2 pages.
-
B. Hinkov, H.T. Hoang, D Winter, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Optoelectronic Devices based on ZnO/ZnMgO";
Poster: CLEO/Europe-EQEC 2019,
München;
2019-06-23
- 2019-06-27; in: "CLEO/Europe-EQEC 2019",
(2019),
131.
-
B. Hinkov, A. Jolliver, S. Pirotta, M. Tchernycheva, R. Colombelli, M. Hugues, N. Le Biavan, M. Montes Bajo, A. Hierro, J. Chauveau, G. Strasser, F.H. Julien:
"Quantum cascade detectors based on non-polar ZnO/ZnMgO quantum wells";
Talk: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
172
- 173.
-
B. Hinkov, A. Jollivet, H.T. Hoang, S. Pirotta, M. Tchernycheva, R. Colombelli, M. Hugues, N. Le Biavan, M. Montes Bajo, A. Hierro, J. Chauveau, F.H. Julien, G. Strasser:
"Quantum Cascade Detector on m-plane ZnO/ZnMgO";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
119.
-
B. Hinkov, A. Jollivet, H.T. Hoang, S. Pirotta, M. Tchernycheva, R. Colombelli, M. Hugues, N. Le Biavan, M. Montesbajo, A. Hierro, J. Chauveau, G. Strasser, F.H. Julien:
"Optoelectronic devices based on non-polar ZnO/ZnMgO quantum wells";
Talk: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
2019-08-26
- 2019-08-30; in: "Gemeinsame Jahrestagung in Zürich ÖPG, SPS",
(2019),
45.
-
B. Hinkov, H. Knötig, M Beiser, N Opacak, M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, G. Strasser:
"Surface emitting ring interband cascade lasers";
Talk: 68. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Graz;
2018-09-10
- 2018-09-13; in: "68. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2018),
34
- 35.
-
B. Hinkov, H. Knötig, M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Interband Cascade Lasers for Trace Gas Sensing";
Talk: International WORKshop on Infrared Technologies,
Olching, Deutschland;
2018-11-12
- 2018-11-13; in: "5th International WORKshop on Infrared Technologies",
(2018),
11.
-
B. Hinkov, H. Knötig, J.P. Waclawek, B. Lendl, G. Strasser, R. Weih, J. Koeth, S. Höfling:
"Continous-wave Surface-emitting Ring Interband Cascade Lasers";
Talk: Compound Semiconductor Week (CSW),
Stockholm;
2021-05-09
- 2021-05-13; in: "Online Conference - CSW 2021",
(2021),
Paper ID WeA2.3,
1 pages.
-
B. Hinkov, A. Lardschneider, A. Alsalhi, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"ZnO-based Resonant Tunneling Diodes for Quantum Cascade Structures";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2018-02-25
- 2018-03-02; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
160
- 161.
-
B. Hinkov, B. Meng, H.T. Hoang, N. Le Biavan, D. Lefebvre, D. Stark, M. Franckié, A. Torres-Pardo, J. Tamayo-Arriola, M. Bajo, A. Hierro, J. Faist, J. Chauveau, G. Strasser:
"THz electroluminescence from non-polar ZnO quantum cascade structures";
Talk: CLEO/Europe EQEC 2021,
Virtual Conference;
2021-06-21
- 2021-06-25; in: "Proceedings of Conference on Lasers and Electro-Optics Europe & Eurpean Quantum Electronics Conference",
(2021),
Paper ID C.C-7.3,
1 pages.
-
B. Hinkov, F. Pilat, M. David, G. Marschick, E. Arigliani, P. Souza, A. Schwaighofer, L. Lux, B. Baumgartner, D. Ristanic, B. Schwarz, H. Detz, A. M. Andrews, B. Lendl, G. Strasser:
"Real-time reaction monitoring of liquids based on monolithic mid-IR sensors";
Talk: CLEO - Laser Science to Photonic Applications,
San José, California;
2022-05-15
- 2022-05-20; in: "CLEO Laser Science to Photonic Applications 2022",
(2022),
Paper ID JTh6A.1,
2 pages.
-
B. Hinkov, F. Pilat, L. Lux, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, G. Strasser:
"Towards In-situ Measurements Of The Protein Secondary Structure Based On Mid-IR Lab-on-a-chip Quantum Cascade Technology";
Talk: Online Conference SCIX 2020,
Sparx (invited);
2020-10-12
- 2020-10-15; in: "SCIX2020",
(2020),
Paper ID MOLEC-OD1.2,
1 pages.
-
B. Hinkov, F. Pilat, L. Lux, P. Souza, A. Schwaighofer, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, M. David, G. Strasser:
"Mid-infrared lab-on-a-chip for protein sensing in real-time";
Talk: SPIE Photonics West 2022 (SPIE OPTO),
San Francisco;
2022-01-22
- 2022-01-27; in: "Proceedings Volume PC12021, Novel In-Plane Semiconductor Lasers XXI",
PC12021
(2022),
Paper ID PC120210M,
1 pages.
More information
-
B. Hinkov, F. Pilat, L. Lux, P. Souza, A. Schwaighofer, B. Schwarz, H. Detz, A. M. Andrews, B. Lendl, G. Strasser:
"Mid-infrared lab-on-a-chip for highly-sensitive plasmonic sensing of proteins";
Talk: ICAVS11,
Krakau;
2021-08-23
- 2021-08-26; in: "11th International Conference on advanced vibrational spectroscopy",
(2021),
23.
-
B. Hinkov, D. Ristanic, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Fabrication of ZnO-based Resonant Tunneling Diodes for Quantum Cascade Structures";
Talk: 66. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien;
2016-09-27
- 2016-09-29; in: "Tagungsband - 66. Jahrestagung der ÖPG",
(2016),
165
- 166.
-
B. Hinkov, D. Ristanic, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Reactive ion etching of ZnO epilayers for resonant tunneling diodes and quantum cascade structures";
Poster: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
2016-09-04
- 2016-09-09; in: "Technical Digest",
(2016),
Paper ID P1.22,
2 pages.
-
B. Hinkov, B. Schwarz, A. Harrer, D. Ristanic, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Resonant Tunneling Diodes based on ZnO for Quantum Cascade Structures";
Talk: SPIE Photonics West 2017,
San Francisco;
2017-01-28
- 2017-02-02; in: "digital digest",
(2017),
356.
-
B. Hinkov, B. Schwarz, R. Szedlak, M. Holzbauer, A. Harrer, H. Detz, A. M. Andrews, G. Strasser:
"Mid-infrared Photonics based on Quantum Cascade Lasers and Detectors";
Talk: 15th International Conference on Nanosciences & Nanotechnologies,
Thessaloniki (invited);
2018-07-03
- 2018-07-06; in: "15th International Conference on Nanosciences & Nanotechnologies",
(2018),
38.
-
B. Hinkov, B. Schwarz, R. Szedlak, M. Holzbauer, A. Harrer, H. Detz, A. M. Andrews, G. Strasser:
"Mid-infrared Quantum Cascade Lasers for spectroscopic applications";
Talk: Gemeinsame Jahrestagung SPS und ÖPG 2017,
Genf;
2017-08-21
- 2017-08-25; in: "Tagungsband Gemeinsame Jahrestagung in Genf",
(2017),
55.
-
H.T. Hoang, M. David, D. Disnan, I. Doganlar, H. Detz, U. Schmid, G. Strasser, B. Hinkov:
"Modelling, fabrication and characterization of low-density polyethylene based plasmonic waveguides for mid-IR photonic networks";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
55.
-
H.T. Hoang, B. Hinkov, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Fabrication of ZnO/ZnMgO-based optoelectronic devices";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
93.
-
G. Hobler:
"Simulation of Focused Ion Beam Milling";
Talk: SEM X Int. Congress & Exposition on Experimental and Applied Mechanics,
Costa Mesa, CA;
2004-06-07
- 2004-06-10; in: "Proc. 5th Int. Symp. MEMS and Nanotechnology",
(2004),
46
- 51.
-
G. Hobler, R.M. Bradley, Herbert M. Urbassek:
"Testing Sigmund´s Model of Sputtering";
Keynote Lecture: International Workshop on Nanoscale Pattern Formation at Surfaces,
Krakow, Polen;
2015-07-12
- 2015-07-16; in: "Book of Abstracts",
(2015),
7.
-
G. Hobler, E. Guerrero, S. Selberherr:
"Two-Dimensional Modeling of Ion Implantation Induced Point Defects";
Talk: International Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits (NUPAD),
Santa Clara;
1986-11-13
- 1986-11-14; in: "Proceedings of the International Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits",
(1986),
10
- 11.
-
G. Hobler, S. Halama, K. Wimmer, S. Selberherr, H. Pötzl:
"RTA-Simulations with the 2-D Process Simulator PROMIS";
Talk: International Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits (NUPAD),
Honolulu;
1990-06-03
- 1990-06-04; in: "NUPAD III Techn. Digest",
(1990),
13
- 14.
-
G. Hobler, H. Kim:
"3D FIB process simulation for photonic applications";
Talk: 1st International Workshop on FIB for Photonics,
Eindhoven, the Netherlands (invited);
2008-06-13
- 2008-06-14; in: "Proceedings of the First International Workshop on FIB for Photonics",
(2008),
ISBN: 978-90-365-2678-4;
8
- 11.
-
G. Hobler, E. Langer, S. Selberherr:
"Two-Dimensional Modeling of Ion-Implantation";
Talk: International Conference on the Simulation of Semiconductor Devices and Processes (SISDEP),
Swansea;
1986-07-21
- 1986-07-23; in: "Proceedings of the International Conference on Simulation of Semiconductor Devices and Processes",
(1986),
ISBN: 0-906674-59-x;
256
- 270.
-
G. Hobler, A. Lugstein, W. Brezna, E. Bertagnolli:
"Simulation of focused ion beam induced damage formation in crystalline silicon";
Poster: Materials Research Society Fall Meeting (MRS),
Boston/MA, USA;
2003-12-01
- 2003-12-05; in: "Proceedings of MRS Fall Meeting 2003",
(2004),
6 pages.
-
G. Hobler, D. Maciazek, Z. Postawa, R.M. Bradley:
"Crater function moments: The influence of implanted noble gas atoms";
Talk: International Workshop on Nanoscale Pattern Formation at Surfaces,
Helsinki;
2017-06-26
- 2017-06-30; in: "Book of Abstracts",
(2017),
33.
-
G. Hobler, V. Moroz:
"Initial conditions for transient enhanced diffusion: Beyond the plus-factor approach";
Talk: International Conference on Simulation of Semiconductor Processes and Devices (SISPAD),
Athens, Greece;
2001-09-05
- 2001-09-07; in: "Initial conditions for transient enhanced diffusion: Beyond the plus-factor approach",
Springer,
(2001),
34
- 37.
-
G. Hobler, V. Moroz:
"Simple formulae for the effective plus-factor for transient enhanced diffusion";
Talk: European Solid-State Device Research Conference (ESSDERC),
Cork, Ireland;
2000-09-11
- 2000-09-13; in: "ESSDERC 2000",
Frontier Group,
(2000),
168
- 171.
-
G. Hobler, C. Murthy:
"Towards a comprehensive model of electronic stopping in amorphous and crystalline silicon";
Talk: 13th Int. Conf. Ion Implantation Technology,
Alpbach, Austria;
2000-09-17
- 2000-09-22; in: "In Proceeding 13th Int. Conf. Ion Implantaion Technology,IIT-2000",
(2000),
209
- 212.
-
G. Hobler, K. Nordlund, M. Current, W. Schustereder:
"Simulation Study of Al Channeling in 4H-SiC";
Talk: International Conference on Ion Implantation Technology,
Würzburg;
2018-09-16
- 2018-09-21; in: "22nd International Conference on Ion Implantation Technology",
(2018),
247
- 250.
-
G. Hobler, L. Pelaz, C.S. Rafferty:
"Dose, energy and ion species dependence of the effective plusfactor for transient enhanced diffusion";
Talk: Process Physics and Modeling in Semiconductor Technology,
Pennington;
1999-07-01; in: "Process Physics and Modeling in Semiconductor Technology",
The Electrochemical Society,
(1999),
75
- 86.
-
G. Hobler, C.S. Rafferty:
"Modeling of (311) defects";
Talk: MRS Warrendale,
Warrendale;
1999-07-01; in: "Mat. Res. Soc. Sym. Proceeding",
568
(1999),
123
- 134.
-
G. Hobler, C.S. Rafferty, S. Senkader:
"A model of (311) defect evolution based on nucleation theory";
Talk: IEEE Conference,
Piscataway;
1997-07-01; in: "Intl. Conf. Simultation of Semiconductor and Devices",
(1997),
73
- 76.
-
G. Hobler, S. Selberherr:
"Efficient Two-Dimensional Monte-Carlo Simulation of Ion Implantation";
Talk: International Conference on the Numerical Analysis of Semiconductor Devices (NASECODE),
Dublin;
1987-06-17
- 1987-06-19; in: "Proceedings Conference on the Numerical Analysis of Semiconductor Devices and Integrated Circuits",
(1987),
225
- 230.
-
G. Hobler, S. Selberherr:
"Monte Carlo Simulation of Ion Implantation into Two- and Three-Dimensional Structures";
Talk: International Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits (NUPAD),
Santa Clara;
1988-05-09
- 1988-05-10; in: "Proceedings of the International Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits",
(1988).
-
G. Hobler, S. Selberherr:
"Verification of Ion Implantation Models by Monte-Carlo Simulations";
Talk: European Solid-State Device Research Conference (ESSDERC),
Bologna;
1987-09-14
- 1987-09-17; in: "Proceedings of the European Solid-State Device Research Conference (ESSDERC)",
(1987),
445
- 448.
-
G. Hobler, H.-H. Vuong, J. Bevk, A. Agarwal, H.-J. Gossmann, D.C. Jacobson, M. Foad, A. Murrell, Y. Erokhin:
"Modeling of ultra-low-energy boron implantation in silicon";
Talk: IEEE Conference,
Piscataway;
1997-07-01; in: "IEDM Techm. Dig.",
(1997),
489
- 492.
-
G. Hochleitner, M. Fischer, H. D. Wanzenböck, R. Heer, H. Brückl, E. Bertagnolli:
"Electron-beam-induced direct deposition of magnetic nanostructures";
Talk: MNE2006 - Micro- and Nano-Engineering 2006 - 32nd International Conference,
Barcelona, Spain;
2006-09-17
- 2006-09-20; in: "Book of Abstracts",
(2006).
-
G. Hochleitner, M. Hörtlackner, P. Rödiger, H. D. Wanzenböck, E. Bertagnolli:
"Experimental evaluation of gas-flux distribution with gas injection systems for focused beam induced deposition";
Talk: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Anchorage, Alaska;
2010-06-01
- 2010-06-04; in: "EIPBN Abstracts",
(2010).
-
G. Hochleitner, M. Hörtlackner, P. Rödiger, H. D. Wanzenböck, E. Bertagnolli:
"Thermally assisted focused electron beam induced deposition";
Talk: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Anchorage, Alaska;
2010-06-01
- 2010-06-04; in: "EIPBN Abstracts",
(2010),
1
- 2.
-
G. Hochleitner, A. Lugstein, P. Rödiger, H. D. Wanzenböck, E. Bertagnolli:
"Nanowire Synthesis on catalyst arrays produced with electron beam induced deposition";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Anchorage, Alaska;
2010-06-01
- 2010-06-04; in: "EIPBN Abstracts",
(2010).
-
G. Hochleitner, H. D. Wanzenböck, P. Rödiger, E. Bertagnolli, W. Bühler, A. Rosenthal:
"Nanostructured Catalyst Arrays for Nanowire Synthesis";
Talk: Meeting of the Electrochemical Society (ECS),
Honolulu, HI;
2008-10-12
- 2008-10-17; in: "Program Information",
(2008),
ISSN: 1091-8213;
1 pages.
-
L. Hoffmann:
"Nonlinear effects in quantum cascade lasers";
Talk: ADLIS workshop,
Goldegg, Salzburg (invited);
2007-02-24
- 2007-02-26; in: "Programm",
(2007).
-
L. Hoffmann, S. Ahn, M. Klinkmüller, E. Mujagic, M. Semtsiv, W. Schrenk, W. Masselink, G. Strasser:
"Tree Array Quantum Cascade Laser";
Talk: ITQW,
Montreal, Kanada;
2009-09-05
- 2009-09-12; in: "Abstract Book",
(2009),
1
- 2.
-
L. Hoffmann, M. Austerer, E. Mujagic, S. Schartner, M. Nobile, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Quantum Cascade Laser with Mach-Zehnder-type Cavity";
Talk: International Quantum Cascade Lasers School & Workshop,
Monte Verita, Ascona, Switzerland;
2008-09-14
- 2008-09-19; in: "Meeting Program, Book of Abstracts, Attendee Poster",
(2008),
169
- 170.
-
L. Hoffmann, C. Hurni, S. Schartner, M. Austerer, M. Nobile, E. Mujagic, M. Semtsiv, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Field Measurements of Y-coupled Cavity Quantum Cascade Lasers";
Talk: International Conference on Narrow Gap Semiconductor,
Surrey, U.K.;
2007-07-08
- 2007-07-12; in: "Programme",
(2007),
4.
-
L. Hoffmann, C. Hurni, S. Schartner, E. Mujagic, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, G. Strasser:
"Y-coupled Quantum Cascade Laser Resonators";
Poster: 9th International Conference on Mid-Infrared Optoelectronics: Materials and Devices (MIOMD-IX),
Freiburg, Deutschland;
2008-09-07
- 2008-09-11; in: "9th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices",
(2008),
122
- 123.
-
L. Hoffmann, S. Schartner, S. Golka, M. Austerer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Direct Measurment of the Photonic Band Structure in Photonic Crystal Intersubband Detectros";
Talk: 8th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices,
Bad Ischl;
2007-05-14
- 2007-05-16; in: "Programm and Abstracts",
(2007),
41.
-
M. Holzbauer, B. Hinkov, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, E. Gornik, J. Koeth, G. Strasser:
"Ring cavity interband cascade lasers";
Talk: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore;
2017-09-10
- 2017-09-15; in: "ITQW2017",
(2017).
-
M. Holzbauer, B. Hinkov, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, E. Gornik, J. Koeth, G. Strasser:
"Ring Interband Cascade Lasers";
Talk: CLEO - Laser Science to Photonic Applications,
San José, California;
2018-05-13
- 2018-05-18; in: "CLEO Conference Program",
(2018),
227.
More information
-
M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, G. Strasser:
"The polarization of ring interband cascade lasers";
Poster: Austrian MBE Workshop 2017,
Wien;
2017-09-28
- 2017-09-29; in: "Austrian MBE Workshop",
(2017),
57.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Ring Quantum Cascade Lasers for Chemical Sensing and Spectroscopic Applications";
Talk: Vienna Young Scientists Symposium - VSS 2016,
Wien;
2016-06-09
- 2016-06-10; in: "VSS - VIENNA young SCIENTISTS SYMPOSIUM, June 9-10 2016",
Book-of-Abstracts.com, Heinz A. Krebs,
Gumpoldskirchen, Austria
(2016),
ISBN: 978-3-9504017-2-1;
124.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Towards continuous wave operation of ring cavity quantum cascade lasers";
Poster: 7th EPS-QEOD Europhoton Conference (EUROPHOTON 2016),
Wien;
2016-08-21
- 2016-08-26; in: "Europhysics Conference Abstract Volume 40 B",
(2016),
ISBN: 979-10-96389-00-1;
33.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring quantum cascade lasers with metal-covered distributed feedback gratings";
Talk: 33rd International Conference on the Physics of Semiconductors (ICPS2016),
Beijing;
2016-07-31
- 2016-08-05; in: "Proceedings of the ICPS 2016",
(2016),
353.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Sub-threshold measurements of the whispering gallery modes in ring quantum cascade lasers";
Talk: Annual Meeting of the Austrian Physical Society,
Wien;
2016-09-27
- 2016-09-29; in: "Conference Book",
(2016),
160
- 161.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Substrate-emitting ring quantum cascade laser array with distributed feedback metal gratings";
Poster: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
2016-09-04
- 2016-09-09; in: "Technical digest",
(2016),
Paper ID P1.21,
2 pages.
-
M. Holzbauer, R. Weih, S. Höfling, W. Schrenk, J. Koeth, E. Gornik, G. Strasser:
"Interband Cascade Ring Lasers";
Talk: The 2nd International Workshop on Magnetic Excitations in Semiconductors: Bridges to the Next Decade,
Buffalo (invited);
2018-07-13
- 2018-07-15; in: "The 2nd International Workshop on Magnetic Excitations in Semiconductors: Bridges to the Next Decade",
(2018),
41.
-
L. Hvozdara, S. Gianordoli, W. Schrenk, G. Strasser, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs Intersubband MIR Lasers";
Talk: SPIE Conference,
Boston;
1999-07-01; in: "Proc. of SPIE",
3828
(1999),
32.
-
L. Hvozdara, S. Gianordoli, G. Strasser, K. Unterrainer, E. Gornik:
"GaAs/AlGaAs Based Intersubband and Interminiband Mid-Infrared Emitters";
Talk: Aktuelle Entwicklungen der Mikroelektronik,
Bad Hofgastein;
1999-03-03
- 1999-03-06; in: "Current developments of microelectronics",
(1999),
ISBN: 3-901578-04-8;
101.
-
L. Hvozdara, J.N. Heyman, G. Strasser, K. Unterrainer, P. Kruck, M. Helm, E. Gornik:
"Characterization of GaAs/AlGaAs mid-infrared emitters";
Talk: IEEE Conference,
San Diego;
1997-09-01; in: "Proc. IEEE 24th Int. Symposium on Compound Semiconductors",
(1997),
565.
-
L. Hvozdara, A. Lugstein, S. Gianordoli, N. Finger, G. Strasser, W. Schrenk, K. Unterrainer, E. Bertagnolli, E. Gornik:
"Quantum cascade lasers with monolithic photonic bandgap mirrors";
Poster: CLEO Europe 2000,
Nice, France;
2000-09-10
- 2000-09-15; in: "CLEO Europe IQEC 2000 Technical Digest",
(2000),
219.
-
N. Jährling, K. Becker, E. Kramer, H. Dodt:
"Ultramicroscopy: 3D-visualization of developing nerve fibres in mouse embryos";
Poster: FENS Forum 2008,
Geneva, Schweiz;
2008-07-12
- 2008-07-16; in: "Fens Forum 2008 Abstracts",
(2008),
Paper ID 096.5,
1 pages.
-
N. Jährling, K. Becker, C. Schönbauer, F. Schnorrer, H. Dodt:
"Analysis of Drosophilas anatomy utilising ultramicroscopy";
Talk: Junior Scientist Conference 2010 (JSC 2010),
Wien;
2010-04-07
- 2010-04-09; in: "Junior Scientist Conference",
(2010),
339
- 340.
-
N. Jährling, K. Becker, B. Wegenast-Braun, S. Grathwohl, D. Eicke, H. Dodt:
"Ultramicroscopy: 3D-reconstruction of alzheimer´s disease pathologies in intact mouse brains";
Poster: 11. Meeting of the "Austrian Neuroscience Association" (ANA),
Salzburg;
2009-09-16
- 2009-09-18; in: "11. Meeting of the "Austrian Neuroscience Association"",
(2009),
83.
-
N. Jährling, K. Becker, B. Wegenast-Braun, S. Grathwohl, M. Jucker, E. Kramer, S. Saghafi, C. Hahn, H. Dodt:
"Technical advances in ultramicroscopy and their application for investigating neuronal development and diseases";
Talk: Göttingen Meeting of the German Neuroscience Society,
Göttingen;
2015-03-18
- 2015-03-21; in: "Proceedings 11th Göttingen Meeting of the German Neuroscience Society",
Neuroforum March 2015,
Band 21
(2015),
ISSN: 0947-0875;
1.
-
N. Jährling, S. Kalchmair, K. Becker, A. Gewies, E. Kramer, H. Dodt:
"3D-Analysis of neutronal and vasucular networks by ultramicroscopy";
Poster: Junior Scientist Conference 2008,
Technische Universität Wien;
2008-11-16
- 2008-11-18; in: "Proceedings",
(2008),
ISBN: 978-3-200-01612-5;
215
- 216.
-
N. Jährling, M. Körte, K. Becker, E. Kramer, R. Weiler, H. Dodt:
"Investigation of developing nerve !bres in mouse embryos by ultramicroscopy";
Poster: Meeting of the German Neuroscience Society,
Göttingen, Deutschland;
2009-03-25
- 2009-03-29; in: "Program",
(2009),
1
- 2.
-
N. Jährling, F. Schnorrer, C. Schönbauer, K. Becker, H. Dodt:
"Analysis of flight muscle defects in adult drosophila by ultramicroscopy";
Poster: Drosophila Regional Meeting,
München, Deutschland;
2008-10-09
- 2008-10-10; in: "abstract book",
(2008),
30
- 31.
-
N. Jährling, F. Schnorrer, C. Schönbauer, K. Becker, H. Dodt:
"Analysis of flight muscle defects in adult Drosophila by ultramicroscopy";
Poster: Focus on Microscopy (FOM),
Krakow, Polen;
2009-04-05
- 2009-04-08; in: "Program and Abstract Book",
(2009),
209.
-
N. Jährling, C. Schönbauer, F. Schnorrer, K. Becker, H. Dodt:
"Ultramicroscopy: HR38 regulates flight muscles number and integrity in Drosophila";
Poster: Fly retreat 2009 schedule,
Chiemsee, Deutschland;
2009-05-13
- 2009-05-15; in: "Program",
(2009).
-
M. Jaidl, M. A. Kainz, S. Schönhuber, D Theiner, A. M. Andrews, M Beiser, M Giparakis, G. Strasser, J. Darmo, K. Unterrainer:
"Multi-mode emission from a THz Quantum Cascade Ring Laser";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
100
- 101.
-
M. Jaidl, N Opacak, M. A. Kainz, S. Schönhuber, D Theiner, B. Limbacher, M Beiser, M Giparakis, A. M. Andrews, G. Strasser, B. Schwarz, J. Darmo, K. Unterrainer:
"Comb Formation In Ultrathin Terahertz Quantum Cascade Ring Lasers";
Poster: Online Conference CLEO 2021,
A Virtual Conference;
2021-05-09
- 2021-05-14; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics (CLEO)",
IEEE Xplore,
(2021),
ISBN: 978-1-943580-91-0;
Paper ID JTh3A.78,
3 pages.
-
M. Jaidl, N Opacak, M. A. Kainz, S. Schönhuber, D Theiner, B. Limbacher, M Beiser, M Giparakis, A. M. Andrews, G. Strasser, B. Schwarz, J. Darmo, K. Unterrainer:
"Comb Operation in Terahertz Quantum Cascade Ring Lasers";
Talk: CLEO/Europe EQEC 2021,
Virtual Conference;
2021-06-21
- 2021-06-25; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC)",
IEEE Xplore,
(2021),
ISBN: 978-1-6654-1876-8;
Paper ID CC-8.2,
1 pages.
-
M. Jaidl, N Opacak, M. A. Kainz, S. Schönhuber, D Theiner, B. Limbacher, M Beiser, M Giparakis, A. M. Andrews, G. Strasser, B. Schwarz, J. Darmo, K. Unterrainer:
"Comb operation in THz Quantum Cascade Lasers";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
1
- 2.
-
D. Johnsson, W. Mamanee, S. Bychikhin, D. Pogany, E. Gornik, M. Stecher:
"Second breakdown in bipolar ESD protection devices during low current long duration stress and its relation to moving current-tubes";
Talk: International Reliability Physics Symposium (IRPS),
Phoenix, USA;
2008-04-27
- 2008-05-01; in: "Proc. IRPS (International Reliability Physics Symposium)",
(2008),
240
- 246.
-
W. Jüngling, G. Hobler, S. Selberherr, H. Pötzl:
"Adaptive Grids in Space and Time for Process and Device Simulators";
Talk: Numerical Grid Generation in Computational Fluid Dynamics Conference,
Landshut;
1986-07-14
- 1986-07-17; in: "Numerical Grid Generation in Computational Fluid Dynamics Conf.",
(1986),
ISBN: 0-906674-58-1;
729
- 739.
-
F.H. Julien, A. Jollivet, B. Hinkov, S. Pirotta, S. Derelle, J. Jaeck, M. Tchernycheva, R. Colombelli, A. Bousseksou, M. Hugues, N. Le Biavan, J. Tamayo-Arriola, M. Montes Bajo, G. Strasser, J. Chauveau, A. Hierro:
"Short infrared wavelength quantum cascade detectors based on m-plane ZnO/ZnMgO quantum wells";
Talk: Photonics West 2019,
San Francisco (invited);
2019-02-02
- 2019-02-07; in: "Proceedings of SPIE",
(2019),
Paper ID 10919-38,
1 pages.
-
M. Jurkovic, D. Gregusova, S. Hascik, M. Blaho, K. Cico, V. Palankovski, J. Carlin, N. Grandjean, J. Kuzmik:
"Polarization Engineered Normally-Off GaN/InlN/AlN/GaN HEMT";
Talk: International Workshop on Nitride Semiconductors 2012 (INW),
Sapporo, Japan;
2012-10-14
- 2012-10-19; in: "International Workshop on Nitride Semiconductors",
(2012),
2 pages.
-
B. Kaczer, J. Franco, M. Toledano-Luque, Ph. J. Roussel, M. F. Bukhori, A. Asenov, B. Schwarz, M. Bina, T. Grasser, G. Groeseneken:
"The Relevance of Deeply-Scaled FET Threshold Voltage Shifts for Operation Lifetimes";
Talk: International Reliability Physics Symposium (IRPS),
Californi, USA;
2012-04-17
- 2012-04-19; in: "Proceedings of the International Reliability Physics Symposium (IRPS)",
(2012),
ISBN: 978-1-4577-1680-5;
6 pages.
-
M. A. Kainz, A. M. Andrews, S. Schönhuber, B. Limbacher, M. Jaidl, D Theiner, H. Detz, G. Strasser, G. Bastard, K. Unterrainer:
"Mode Switching of a Dual-color Terahertz Quantum Cascade Laser";
Talk: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
20
- 21.
-
M. A. Kainz, M. Brandstetter, T. Zederbauer, M. Krall, S. Schönhuber, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Towards InAs based Terahertz Quantum Cascade Lasers";
Poster: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
2015-09-01
- 2015-09-04; in: "Gemeinsame Jahrestagung in Wien - ÖPG Tagungsband",
(2015).
-
M. A. Kainz, M. Brandstetter, T. Zederbauer, M. Krall, S. Schönhuber, H. Detz, W. Schrenk, A. M. Andrews, T. Grange, G. Strasser, K. Unterrainer:
"InAs based Terahertz Quantum Cascade Lasers";
Talk: 33rd International Conference on the Physics of Semiconductors (ICPS2016),
Beijing, China;
2016-07-31
- 2016-08-05; in: "Proceedings of the ICPS 2016",
(2016),
Paper ID Mo-F4.5,
1 pages.
-
M. A. Kainz, M. Brandstetter, T. Zederbauer, M. Krall, S. Schönhuber, H. Detz, W. Schrenk, A. M. Andrews, T. Grange, G. Strasser, K. Unterrainer:
"InAs based Terahertz Quantum Cascade Lasers";
Poster: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
2016-09-04
- 2016-09-09; in: "Technical Digest",
(2016),
Paper ID P1.9,
2 pages.
-
M. A. Kainz, M. Brandstetter, T. Zederbauer, M. Krall, S. Schönhuber, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, K. Unterrainer:
"Terahertz Quantum Cascade Lasers based on InAs";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
2016-02-21
- 2016-02-26; in: "Technical Digest",
(2016),
Paper ID P 1.6.2,
2 pages.
-
M. A. Kainz, C. Deutsch, M. Krall, M. Brandstetter, D. Bachmann, S. Schönhuber, H. Detz, D. MacFarland, A. M. Andrews, G. Strasser, K. Unterrainer:
"Compensation of asymmetries for high-performance InGaAs/InAlAs terahertz quantum cascade lasers";
Talk: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore;
2017-09-10
- 2017-09-15; in: "ITQW2017",
(2017).
-
M. A. Kainz, C. Deutsch, M. Krall, M. Brandstetter, D. Bachmann, S. Schönhuber, H. Detz, D. MacFarland, A. M. Andrews, G. Strasser, K. Unterrainer:
"Low Effective Electron Mass InGaAs/InAlAs for High Power Terahertz Quantum Cascade Lasers";
Talk: CLEO Europe,
München, Deutschalnd;
2017-06-25
- 2017-06-29; in: "Abstracts of the Conference on Lasers and the Electro-Optics Europe & European Quantum Electronics Conference",
(2017),
ISBN: 978-1-5090-6736-7;
Paper ID CC-3.4 SUN,
1 pages.
-
M. A. Kainz, C. Deutsch, M. Krall, M. Brandstetter, D. Bachmann, S. Schönhuber, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High performance InGaAs/InAlAs terahertz quantum cascade lasers";
Poster: International Workshop on Optical Terahertz Science and Technology (OTST 2017),
London;
2017-04-02
- 2017-04-07; in: "OTST 2017 - Optical Terahertz Science and Technology",
(2017),
Paper ID PS3:28,
1 pages.
-
M. A. Kainz, C. Deutsch, M. Krall, S. Schönhuber, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Asymmetry study for high performance InGaAs/InAlAs terahertz quantum cascade lasers";
Poster: Austrian MBE Workshop 2017,
Wien;
2017-09-28
- 2017-09-29; in: "Austrian MBE Workshop",
(2017),
59.
-
M. A. Kainz, M. Jaidl, B. Limbacher, D Theiner, M Giparakis, M Beiser, A. M. Andrews, G. Strasser, K. Unterrainer:
"Terahertz Amplifier with Optical Threshold";
Talk: CLEO/Europe EQEC 2021,
Virtual Conference;
2021-06-21
- 2021-06-25; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC)",
IEEE Xplore,
(2021),
ISBN: 978-1-6654-1876-8;
Paper ID CC-6.5,
1 pages.
-
M. A. Kainz, M. Jaidl, B. Limbacher, D Theiner, M Giparakis, M Beiser, A. M. Andrews, G. Strasser, K. Unterrainer:
"Terahertz Quantum Cascade Amplifier with Optical Threshold";
Talk: Online Conference CLEO 2021,
A Virtual Conference;
2021-05-09
- 2021-05-14; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics (CLEO)",
IEEE Xplore,
(2021),
ISBN: 978-1-943580-91-0;
Paper ID ATu2T.5,
2 pages.
-
M. A. Kainz, M. Jaidl, S. Schönhuber, D Theiner, M. Semtsiv, S. Kurlov, W. Masselink, M Beiser, M Giparakis, H. Detz, G. Strasser, K. Unterrainer, A. M. Andrews:
"Thermoelectrically Cooled THz Quantum Cascade Lasers";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
1
- 2.
-
M. A. Kainz, S. Schönhuber, D. Bachmann, C.G. Derntl, M. Rösch, M. Beck, G. Scalari, J. Faist, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"High-performance and dynamics of THz quantum cascade lasers";
Talk: Photonics West 2018,
San Francisco (invited);
2018-01-27
- 2018-02-01; in: "Conference Proceedings",
(2018),
378.
-
M. A. Kainz, S. Schönhuber, C.G. Derntl, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"High performance THz Quantum Cascade Lasers";
Keynote Lecture: PHOTONICA 2019,
Belgrade, Serbia (invited);
2019-08-26
- 2019-08-30; in: "Book of Abstracts: 7th International School and Conference on Photonics",
(2019),
7.
-
M. A. Kainz, S. Schönhuber, M. Jaidl, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Thermal dynamics optimization of terahertz quantum cascade lasers with different barrier compositions";
Poster: Online Conference - International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
2020-09-07
- 2020-09-10; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
-
M. A. Kainz, S. Schönhuber, M. Jaidl, G. Strasser, A. M. Andrews, J. Darmo, K. Unterrainer:
"High performance and control of THz quantum cascade lasers";
Talk: SPIE Photonics West 2020,
San Francisco (invited);
2020-02-01
- 2020-02-06; in: "Proceedings Volume 11301, Novel In-Plane Semiconductor Lasers XIX",
(2020),
Paper ID 11301-53,
1 pages.
More information
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, D. MacFarland, G. Strasser, K. Unterrainer:
"Variation of barrier height for high temperature terahertz quantum cascade lasers";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2018-02-25
- 2018-03-02; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
1
- 2.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Conduction band offset engineering for high temperature THz quantum cascade lasers";
Poster: EOS 2018,
Berlin, Germany;
2018-05-06
- 2018-05-09; in: "Proceedings: Topical Meeting on Terahertz Science & Technology",
(2018),
ISBN: 978-952-68553-5-6;
1
- 2.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Dual-lasing Channel of a High-Temperature Terahertz Quantum Cascade Laser";
Poster: CLEO/Europe-EQEC 2019,
München;
2019-06-23
- 2019-06-27; in: "CLEO/Europe-EQEC 2019",
IEEE,
(2019),
ISBN: 978-1-7281-0469-0;
1
- 2.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"LO-phonon engineering for high temperature terahertz quantum cascade lasers";
Poster: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
2018-09-02
- 2018-09-07; in: "Book of Abstracts",
(2018),
Paper ID 434,
3 pages.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"LO-phonon engineering of terahertz quantum cascade lasers";
Poster: ICPS 2018,
Montpellier, France;
2018-07-29
- 2018-08-03; in: "Proceedings of the 34th International Conference on the Physics of Semiconductors",
(2018),
Paper ID P1-033,
1 pages.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Temperature Dependent Mode Switching of a High-Temperature THz Quantum Cascade Laser";
Poster: Optical Terahertz Science and Technology OTST- 2019,
Santa Fe, New Mexico, USA;
2019-03-10
- 2019-03-15; in: "International Conference on Optical Terahertz Science and Technology",
(2019),
Paper ID We-P-03,
2 pages.
-
M. A. Kainz, S. Schönhuber, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Thermal Conductivity for Different Barrier Compositions of Terahertz Quantum Cascade Lasers";
Talk: CLEO/Europe-EQEC 2019,
München;
2019-06-23
- 2019-06-27; in: "CLEO/Europe-EQEC 2019",
IEEE,
(2019),
ISBN: 978-1-7281-0469-0;
1.
-
M. A. Kainz, M. Semtsiv, G. Tsianos, S. Kurlov, W. Masselink, S. Schönhuber, B. Limbacher, H. Detz, W. Schrenk, K. Unterrainer, G. Strasser, A. M. Andrews:
"Thermoelectrically Cooled Terahertz Quantum Cascade Laser";
Talk: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
22
- 23.
-
S. Kalchmair, K. Becker, N. Jährling, H. Dodt:
"Multi-Perspective Ultramicroscopy with Structured Illumination in Optically Dense Specimens";
Poster: Junior Scientist Conference 2008,
Technische Universität Wien;
2008-11-16
- 2008-11-18; in: "Junior Scientist Conference 2008",
(2008),
ISBN: 978-3-200-01612-5;
315
- 316.
-
S. Kalchmair, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Direct Measurement of Buided Resonances in a Photonic Crystal Slab by Quantum Well Photodetector";
Poster: International Conference on Photonic and Electromagnetic Crystal Structures,
Granada, Spanien;
2010-09-26
- 2010-09-30; in: "PECS-IX 2010",
(2010),
276.
-
S. Kalchmair, R. Gansch, G. Cole, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Temperature effects in Photonic Crystal Slab Quantum Well Photodetectors";
Poster: ITQW,
Badesi, Italy;
2011-09-11
- 2011-09-17; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
S. Kalchmair, R. Gansch, P Genevet, T. Zederbauer, D. MacFarland, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, F. Capasso, M. Loncar:
"In-situ measurement of bound states in the continuum in photonic crystal slabs";
Talk: Photonic Crystal Materials and Devices XII,
Brüssel;
2016-04-05
- 2016-04-07; in: "Proceedings of SPIE",
SPIE,
9885
(2016),
ISBN: 9781510601307;
9885-21.
More information
-
S. Kalchmair, S. Schartner, A. M. Andrews, P. Klang, O. Glushko, R. Meisels, W. Schrenk, G. Strasser:
"Post-fabrication Fine-tuning of Photonic Crystal Devices";
Talk: Annual Meeting of the Austrian & Swiss Phys.l Soc,
Innsbruck;
2009-09-02
- 2009-09-04; in: "Programme and Abstracs",
(2009),
88.
-
S. Kalchmair, S. Schartner, A. M. Andrews, P. Klang, O. Glushko, R. Meisels, W. Schrenk, G. Strasser:
"Post-Fabrication Precision Tuning of Photonic Crystal Devices";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "16th International Winterschool Mauterndorf",
(2010),
211
- 212.
-
S. Kalchmair, S. Schartner, A. M. Andrews, P. Klang, O. Glushko, R. Meisels, W. Schrenk, G. Strasser:
"Post-Fabrication Precision Tuning of Photonic Crystal Microstructures";
Poster: International Conference on Micro- and Nano-Engineering,
Ghent, Belgium;
2009-09-28
- 2009-10-01; in: "Programme Guide",
(2009),
79.
-
S. Kalchmair, S. Schartner, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Fine Tuning of Photonic Crystals Post Fabricaton using QWIP Photo-Response";
Talk: ITQW,
Montreal, Canada;
2009-09-06
- 2009-09-11; in: "Abstract book",
(2009),
30
- 31.
-
H Karaca, C. Fleury, S. Holland, H. Ritter, G. Notermans, D. Pogany:
"Mechanism of sequential finger triggering of multi-finger floating-base SCRs due to inherent substrate currents";
Talk: EOS/ESD Symposium 2019,
Riverside;
2019-09-15
- 2019-09-20; in: "Proc. EOS/ESD Symposium 2019",
(2019).
-
M. Kast, C Pacher, G. Strasser, E. Gornik:
"Transport trough Wannier-Stark states in biased finite superlattices";
Poster: GMe Forum 2003,
Wien, Austria;
2003-04-10
- 2003-04-11; in: "Proceedings GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
165
- 169.
-
D. Kazakov, M. Piccardo, B. Schwarz, M Beiser, Y. Wang, M. Tamagnone, W. Chen, A. Zhu, A. Belyanin, F. Capasso:
"Frequency comb generation in ring injection lasers by defect engineering";
Talk: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
98
- 99.
-
D. Kazakov, M. Piccardo, B. Schwarz, N Opacak, M Beiser, Y. Wang, S. Jha, M. Tamagnone, J. Hillbrand, L. Columbo, A. Belyanin, F. Capasso:
"Manipulating frequency comb regimes in semiconductor ring lasers";
Talk: Online Conference - Photonics West 2021,
California;
2021-03-06
- 2021-03-12; in: "Proceedings Volume 11705, Novel In-Plane Semiconductor Lasers XX",
(2021),
Paper ID 117050R,
1 pages.
More information
-
P.O. Kellermann, N. Finger, E. Gornik, M. Ost, F. Scholz, H. Schweizer:
"Wavelength-graded surface-emitting laser array with contradirectional surface-mode coupling";
Talk: CLEO Europe 2000,
Nice, France;
2000-09-10
- 2000-09-15; in: "CLEO Europe/IQEC 2000 Conference Digest",
(2000),
38.
-
K. Kempa, P. Bakshi, M. Ciftan, E. Gornik, K. Unterrainer, G. Strasser, C. Rauch:
"Plasmon Based Terahertz Laser Without Population Inversion";
Talk: SPIE Conference,
Boston;
1999-07-01; in: "Proc. of SPIE",
3828
(1999),
151.
-
K. Kempa, P. Bakshi, C. Du, G. Feng, A. Scorupsky, E. Gornik, G. Strasser, K. Unterrainer, C. Rauch:
"Intersubband scattering rates, population inversion and stimulated emission of THz plasmons in bounded quantum systems";
Talk: International Conference of the Physics of Semiconductors,
Jerusalem;
1998-08-03; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
1.
-
K. Kempa, P. Bakshi, C. Du, G. Feng, A. Scorupsky, G. Strasser, C. Rauch, K. Unterrainer, E. Gornik:
"Towards stimulated generation of coherent plasmons in nanostructures";
Talk: SPIE Conference,
Boston;
1999-07-01; in: "THz Spectroscopy II",
(1999),
3708.
-
R. Kersting, R. Bratschitsch, E. Thaller, G. Strasser, K. Unterrainer, J.N. Heyman:
"Excitation of intersubband transitions by THz pulses";
Talk: CLEO/QELS,
Baltimore;
1999-09-01; in: "Technical Digest",
(1999),
219.
-
R. Kersting, J.N. Heyman, R. Hoffmann, G. Strasser, K.D. Maranowski, A.C. Gossard, K. Unterrainer:
"Driving a harmonic oscillator with few-cycle THz pulses";
Talk: International Quantum Electronics Conference,
San Francisco;
1998-05-03; in: "Proc. Intern. Quantum Electronics Conference",
(1998),
1.
-
R. Kersting, J.N. Heyman, G. Strasser, K. Unterrainer:
"THz time-domain spectroscopy of intersubband transitions";
Talk: International Quantum Electronics Conference,
San Francisco;
1998-05-03; in: "Proc. Intern. Quantum Electronics Conference",
(1998),
1.
-
R. Kersting, J.N. Heyman, G. Strasser, K. Unterrainer:
"Ultrafast dynamics of coherent plasmons in n-doped GaAs";
Talk: European Quantum Electronics Conference,
Glasgow;
1998-09-14; in: "Proc. European Quantum Electronics Conference",
(1998),
1.
-
R. Kersting, K. Unterrainer, G. Strasser, H.F. Kauffmann, E. Gornik:
"Coherent Few Cycle THz Emission of Cold Plasmons";
Talk: QELS Conference,
Boston;
1997-07-01; in: "Proc. of the Quantum Electronics & Laser Science Conference",
(1997),
1.
-
R. Kersting, K. Unterrainer, G. Strasser, E. Gornik, H.F. Kauffmann:
"Coherent Few-Cycle THz Emission of cold plasmons";
Talk: Gordon Research Conference on Laser Interactions with Materials,
Plymouth, USA;
1996-07-01; in: "Proc. Gordon Research Conf. on Laser Interactions with Materials",
(1996),
1.
-
N. Kheirodin, L. Nevou, H. Machhadani, M. Tchernycheva, A. Lupu, F.H. Julien, P. Crozat, L. Meignien, E. Warde, L. Vivien, G. Pozzovivo, S. Golka, G. Strasser, G. Guillot, E. Monroy, T. Remmele, M. Albrecht:
"Electro-optical intersubband modulators at telecommunication wavelengths based on GaN/AlN quantum wells";
Talk: Conference of Nitride Semiconductors (ICNS),
Las Vegas, USA;
2007-09-16
- 2007-09-21; in: "Proceeding of The 7th Int´l Conference of Nitride Semiconductors (ICNS-7)",
(2007),
67.
-
H. Kim, G. Hobler:
"Analysis of Ion Beam-Solid Interactions for Nano Fabrication";
Talk: Autumn Conference of the Korean Society of Precision Engineering,
GangJu, South Korea;
2005-10-20
- 2005-10-21; in: "Proc. 2005 Autumn Conference of the Korean Society of Precision Engineering",
(2005),
581
- 584.
-
H. Kim, G. Hobler, A. Lugstein, E. Bertagnolli:
""AMADEUS" Software for Ion Beam Nano Patterning and Characteristics of Nano Fabrication";
Talk: Autumn Conference of the Korean Society of Precision Engineering,
GangJu, South Korea;
2005-10-20
- 2005-10-21; in: "Proc. 2005 Autumn Conference of the Korean Society of Precision Engineering",
(2005),
322
- 325.
-
P. Klang, A. M. Andrews, H. Detz, A. Lugstein, W. Schrenk, G. Strasser:
"X-ray Characterization of GaAs Nanowires Grown on Si Nanowires";
Talk: STRUKTURA - Materials Structure in Chemistry, Biology, Physics and Technology,
Park Hotel Hluboka nad Vltavou, Czech and Slovak;
2009-06-22
- 2009-06-25; in: "STRUKTURA",
(2009),
1
- 2.
-
P. Klang, A. M. Andrews, H. Detz, M. Steinmair, A. Lugstein, W. Schrenk, G. Strasser:
"X-ray characterization of GaAs nanowires on Si nanowires";
Poster: E-MRS Spring Meeting,
Strasbourg, France;
2009-06-08
- 2009-06-12; in: "E-MRS 2009 Spring Meeting",
(2009).
-
P. Klang, H. Detz, A. M. Andrews, P. Bakshi, G. Strasser, E. Gornik, M. Holzbauer:
"Resonant Intersubband Plasmon Enhanced Current Transport";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2014-02-23
- 2014-02-28; in: "New Developments in Solid State Physics",
(2014),
128
- 129.
-
P. Klang, H. Detz, A. M. Andrews, D. Kriegner, J. Stangl, G. Bauer, A. Lugstein, W. Schrenk, G. Strasser:
"Structural Analysis of GaAs/Si Nanowires";
Talk: STRUKTURA - Materials Structure in Chemistry, Biology, Physics and Technology,
Solan;
2010-06-14
- 2010-06-17; in: "Materials Structure in Chemistry, Biology, Phxsics and Technology",
(2010),
95.
-
P. Klang, H. Detz, A. M. Andrews, D. Kriegner, J. Stangl, G. Bauer, A. Lugstein, W. Schrenk, G. Strasser:
"X-Rax Structurqal Study of GaAs Nanowires on Si";
Poster: 10th Biennial Conference on High Resolution X-Ray Diffraction and Imaging,
Warwick, England;
2010-09-20
- 2010-09-23; in: "XTOP 2010",
(2010),
71.
-
P. Klang, H. Detz, A. M. Andrews, D. Kriegner, J. Stangl, G. Bauer, A. Lugstein, W. Schrenk, G. Strasser:
"XRD Study of the Crystal Structure of GaAs Nanowhiskers Grown on Si Nanowires";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "16th International Winterschool Mauterndorf",
(2010),
123
- 124.
-
H. Knötig, A. M. Andrews, B. Hinkov, R. Weih, J. Koeth, B. Schwarz, G. Strasser:
"Interband Cascade and Quantum Cascade Ring Lasers";
Talk: Conference on Lasers and Electro-Optics (CLEO),
Washington (invited);
2020-05-10
- 2020-05-15; in: "CLEO: Science and Innovations 2020",
OSA Publishing,
(2020),
ISBN: 978-1-943580-76-7;
Paper ID paper STh1E.3.,
2 pages.
More information
-
H. Knötig, B. Hinkov, M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Ring Interband Cascade Lasers for Environmental Monitoring";
Talk: CLEO/Europe-EQEC 2019,
München;
2019-06-23
- 2019-06-27; in: "CLEO/Europe-EQEC 2019",
(2019),
156.
-
H. Knötig, B. Hinkov, R. Weih, S. Höfling, J. Koeth, J.P. Waclawek, S. Lindner, B. Lendl, G. Strasser:
"Ring interband cascade lasers emitting in continous-wave mode at room temperature";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
86.
-
H. Knötig, B. Hinkov, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Continuos-Wave Operation of Ring Interband Cascade Lasers";
Talk: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
106
- 107.
-
H. Knötig, B. Hinkov, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Ring Interband Cascade Lasers Running in Contin-uous Mode Operation";
Talk: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
2019-08-26
- 2019-08-30; in: "Gemeinsame Jahrestagung in Zürich ÖPG, SPS",
(2019),
45.
-
H. Knötig, B. Hinkov, R. Weih, B. Schwarz, S. Lindner, J.P. Waclawek, B. Lendl, S. Höfling, J. Koeth, G. Strasser:
"Vertically emitting ring interband cascade lasers";
Talk: Online Conference - Photonics West 2021,
California (invited);
2021-03-06
- 2021-03-12; in: "Proc. SPIE 11705, Novel In-Plane Semiconductor Lasers XX",
11705
(2021),
Paper ID 1170517,
1 pages.
More information
-
H. Knötig, M. Holzbauer, B. Hinkov, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Ring interband cascade lasers for trace gas sensing";
Talk: FLAIR 2018,
Santa Maria degli Angeli;
2018-09-10
- 2018-09-14; in: "FLAIR 2018",
(2018),
5.
-
H. Knötig, M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, B. Hinkov, G. Strasser:
"Ring interband cascade laser arrays";
Poster: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
2018-09-02
- 2018-09-07; in: "Book of Abstracts",
(2018),
2 pages.
-
H. Knötig, M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, B. Hinkov, G. Strasser:
"Ring interband cascade lasers for spectroscopic applications";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2018-02-25
- 2018-03-02; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
158.
-
H. Knötig, R. Szedlak, J. Nauschütz, R. Weih, N Opacak, S. Höfling, J. Koeth, G. Strasser:
"The relevance of valence band engineering in interband cascade lasers";
Talk: SPIE Photonics Europe Conferences,
Straßburg;
2022-04-03
- 2022-04-07; in: "Semiconductor Lasers and Laser Dynamics X",
(2022),
Paper ID 12141-30,
1 pages.
-
H. Knötig, R. Weih, N Opacak, S. Höfling, J. Koeth, G. Strasser, B. Schwarz:
"Interband cascade lasers: beating intersubband transitions";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
40.
-
H. Knötig, R. Weih, N Opacak, S. Höfling, G. Strasser, B. Schwarz:
"Interband cascade lasers: overcoming intersubband transitions in the valence band";
Talk: Photonica 2021,
Belgrad;
2021-08-23
- 2021-08-27; in: "Photonics 2021",
(2021),
121.
-
A. Köck, A. Golshani, R. Hainberger, E. Gornik, L. Korte:
"Digital beamsteering from surface emitting laser diodes based on surface-mode emission";
Talk: SPIE Conference,
Boston;
1997-07-01; in: "Proc. of the SPIE",
(1997),
192
- 198.
-
A. Köck, A. Golshani, R. Hainberger, E. Gornik, L. Korte:
"Single-beam and single-mode emission from surface emitting laser diodes based on surface mode emission";
Talk: European Solid-State Device Research Conference (ESSDERC),
Berlin;
1996-09-01; in: "Proc. of ESSDERC ´96",
(1996),
541
- 544.
-
A. Köck, A. Golshani, R. Hainberger, E. Gornik, L. Korte:
"Single-beam and single-mode emission from surface emitting laser diodes based on surface mode emission";
Talk: LEOS,
Berlin;
1996-07-01; in: "Proc. of LEOS ´96",
(1996),
125
- 126.
-
H. Köck, R. Illing, T. Ostermann, S. Decker, D. Dibra, G. Pobegen, S. de Filippis, M. Glavanovics, D. Pogany:
"Design of a test chip with small embedded temperature sensor structures realized in a common-drain power trench technology";
Talk: 2011 IEEE Conference on Microelectronic Test Structures,
Niederlande;
2010-03-22
- 2010-03-25; in: "2011 IEEE Conference on Microelectronic Test Structures",
(2010),
176
- 181.
-
E. Kohn, M. Alomari, A. Denisenko, M. Dipalo, D. Maier, F. Medjdoub, C. Pietzka, S. Delage, M. diForte-Poisson, E. Morvan, N. Sarazin, J. Jacquet, C. Dua, J. Carlin, N. Grandjean, M. Py, M. Gonschorek, J. Kuzmik, D. Pogany, G. Pozzovivo, C. Ostermaier, L. Toth, B. Pecz, C. Gaquière, K. Cico, K. Fröhlich, A. Georgakilas, E. Iliopoulos, G. Konstantinidis, C. Giessen, M. Heuken, B. Schineller:
"InAlN/GaN Heterostructures for Microwave Power and Beyond";
Talk: IEEE International Electron Devices Meeting (IEDM),
Baltimore, USA;
2009-12-07
- 2009-12-09; in: "IEDM 09",
(2009),
173
- 176.
-
E. Kohn, M. Alomari, Z. Gao, S. Rossi, A. Dussaigne, J. Carlin, N. Grandjean, K. Aretouli, A. Adikimenakis, G. Konstantinidis, A. Georgakilas, Y. Zhang, J. Weaver, J. Calvo, M. Kuball, S. Bychikhin, J. Kuzmik, D. Pogany, L. Toth, B. Pecz, A. Kovacs:
"Direct Interpretation of Diamond Heat Spreader with GaN-Based HEMT Device Structures";
Talk: Lester Eastman Conference on High performance Devices,
Cornell University, Ithaca, NY, USA;
2014-08-05
- 2014-08-07; in: "Direct Integration of Diamond Heat Spreader with GaN-Based HEMT Device Structures",
(2014),
ISBN: 978-1-4799-6441-3;
26
- 29.
-
C Koller, G. Pobegen, C. Ostermaier, D. Pogany:
"Evidence of defect band in carbon-doped GaN controlling leakage current and trapping dynamics";
Talk: IEEE International Electron Devices Meeting (IEDM),
San Francisco;
2017-12-02
- 2017-12-06; in: "Proceedings 2017 IEEE International Electron Devices Meeting",
(2017),
753
- 756.
-
S. Kolodinski, C. Mart, W. Weinreich, V. Sessi, J. Trommer, T. Chohan, H. Mulaosmanovic, W. Weber, S. Slesazeck, B. Peng, C. Esposito, Y. Zimmermann, M. Schröter, X. Xu, P. Testa, C. Carta, F. Ellinger, S. Lehmann, M. Drescher, M. Wiatr:
"IPCEI Subcontracts Contributing to 22-FDX Add-on Functionalities at GF";
Talk: European Solid-State Device Research Conference (ESSDERC),
krakow, Polen;
2019-09-23
- 2019-09-26; in: "IEEE ESSDERC Proceedings",
(2019),
74
- 77.
-
M. Krall, D. Bachmann, C. Deutsch, M. Brandstetter, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"All-electrical thermal characterization of terahertz quantum cascade lasers";
Talk: ITQW,
Wien;
2015-09-06
- 2015-09-11; in: "Technical Digest",
(2015),
34
- 35.
-
M. Krall, M. Brandstetter, D. Bachmann, C. Deutsch, J. Darmo, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz quantum cascade laser systems";
Talk: Photonics West,
San Francisco, California, USA (invited);
2016-02-13
- 2016-02-18; in: "Technical Digest",
(2016),
1 pages.
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Micropillar arrays of terahertz quantum cascade emitters based on InGaAs/GaAsSb";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
2012-09-02
- 2012-09-06; in: "International Quantum Cascade Lasers School & Workshop 2012",
(2012),
ISBN: 978-3-901578-24-3;
159.
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Micropillar Arrays of Terahertz Quantum Cascade Emitters Based on InGaAs/GaAsSb";
Poster: GMe Forum 2013,
Vienna;
2013-06-06
- 2013-06-07; in: "Proceedings of the GMe Forum 2013",
(2013),
ISBN: 978-3-901578-27-4.
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, K. Unterrainer, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"THz emission from InGaAs/GaAsSb micropillar arrays";
Poster: EOS Topical Meeting on Terahertz & Science Technology (TST 2012),
Prag;
2012-06-17
- 2012-06-20; in: "Technical Digest",
(2012),
ISBN: 978-3-9815022-1-3;
Paper ID TST2012_5408_050,
2 pages.
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, K. Unterrainer, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Top-down fabrication and characterization of InGaAs/GaAsSb semiconductor nanowires";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2012-02-12
- 2012-02-17; in: "Proceedings of 17th International Winterschool on New Developments in Solid State Physics",
(2012).
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"From Photonic Crystal to Subwavelength Micropillar Array Terahertz Quantum Cascade Lasers";
Poster: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Policoro;
2014-09-07
- 2014-09-14; in: "Technical Digest",
(2014).
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Scaling of Micropillar Array Terahertz Lasers into the Subwavelength Regime";
Talk: CLEO 2014,
San Jose;
2014-06-08
- 2014-06-13; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
Paper ID STh4G.8,
2 pages.
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Fabrication and Characterization of Terahertz Emitting GaAs/AlGaAs Micropillar Quantum Cascade Structures in a Double Metal Waveguide";
Talk: CLEO Europe 2013,
Munich;
2013-05-12
- 2013-05-16; in: "Conference Digest",
(2013),
ISBN: 978-1-4799-0594-2;
Paper ID CB/CC-1.6 MON,
1 pages.
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Towards nanowire-based terahertz quantum cascade lasers";
Talk: Towards Nanowire-Based Terahertz Quantum Cascade Lasers,
Bad Honnef, Germany;
2015-03-22
- 2015-03-25; in: "Book of Abstracts",
(2015).
-
M. Krall, M. Brandstetter, C. Deutsch, T. Grange, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"From photonic crystal to micropillar terahertz quantum cascade lasers and recent progress towards nanowire-based devices";
Talk: Joint Annual Meeting of ÖPG, SPG, ÖGAA und SGAA 2013,
Linz;
2013-09-02
- 2013-09-06; in: "Technical Digest",
(2013).
-
M. Krall, M. Brandstetter, C. Deutsch, T. Grange, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Prospects and technological challenges of terahertz quantum cascade lasers based on semiconductor nanowires";
Poster: International THz Conference,
Villach, Österreich;
2013-09-09
- 2013-09-10; in: "Technical Digest",
(2013),
ISBN: 978-3-85403-296-0;
1 pages.
-
M. Krall, C. Deutsch, M. Brandstetter, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Subwavelength terahertz micropillar arrays";
Talk: ITQW,
New York, USA;
2013-09-15
- 2013-09-20; in: "Technical Digest",
(2013).
-
M. Krall, M. A. Kainz, M. Brandstetter, C. Deutsch, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Systematic comparison of alternative barrier materials for InGaAs-based terahertz quantum cascade lasers";
Poster: 33rd International Conference on the Physics of Semiconductors (ICPS2016),
Beijing, China;
2016-07-31
- 2016-08-05; in: "Proceedings of the ICPS 2016",
(2016),
Paper ID Tu-P.225,
1 pages.
-
M. Krall, M. A. Kainz, M. Brandstetter, C. Deutsch, S. Schönhuber, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High Performance InGaAs-based Terahertz Quantum Cascade Lasers";
Talk: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
2016-09-04
- 2016-09-09; in: "Technical Digest",
(2016),
2 pages.
-
M. Krall, B. Limbacher, M. A. Kainz, M. Brandstetter, C. Deutsch, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Inverse Bandstructure Engineering of Alternative Barrier Materials for InGaAs-based Terahertz Quantum Cascade Lasers";
Poster: CLEO Europe,
München, Deutschalnd;
2017-06-25
- 2017-06-29; in: "Abstracts of the Conference on Lasers and the Electro-Optics Europe & European Quantum Electronics Conference",
(2017),
ISBN: 978-1-5090-6736-7;
Paper ID CC-P.15 SUN,
1 pages.
-
E. Kramer, K. Tillack, H. Aboutalebi, N. Jährling, H. Dodt:
"Imaging and quantification of dopaminergic neurons of the mouse using ultramicroscopy";
Talk: Göttingen Meeting of the German Neuroscience Society,
Göttingen;
2015-03-18
- 2015-03-21; in: "Proceedings 11th Göttingen Meeting of the German Neuroscience Society",
Neuroforum March 2015,
Band 21
(2015),
ISSN: 0947-0875;
1.
-
D. Kriegner, M. Keplinger, J. Stangl, G. Bauer, P. Klang, A. M. Andrews, H. Detz, G. Strasser:
"X-Ray diffraction investigation of GaAs and Si/GaAs branched nanowires";
Poster: Informationstagung Mikroelektronik ME10,
Wien;
2010-04-07
- 2010-04-08; in: "Tagungsband zur Informationstagung Mikroelektronik",
(2010),
ISBN: 978-3-85133-055-7;
95
- 98.
-
M. Kriz, S. Lancaster, M. Schinnerl, H. Detz, E. Bertagnolli, G. Strasser:
"Position Control of Nanowires using a focused ion beam";
Poster: Vienna Young Scientists Symposium - VSS 2015,
Vienna University of Technology;
2015-06-25
- 2015-06-26; in: "VSS - VIENNA young SCIENTISTS SYMPOSIUM, June 25-26 2015",
Book-of-Abstracts.com, Heinz A. Krebs,
Gumpoldskirchen, Austria
(2015),
ISBN: 978-3-9504017-0-7;
146
- 147.
-
J. Kröll, J. Darmo, G. Fasching, A. Benz, T. Roch, A.M. Andrews, W. Schrenk, V. Tamosiunas, G. Strasser, K. Unterrainer:
"Optical control in active terahertz waveguides";
Talk: The Joint 30th International Conference on Infrared and Millimeter Waves & 13th International Conference on Terahertz Electronics,
Williamsburg, Virginia, USA;
2005-09-19
- 2005-09-23; in: "IRMMW-THz 2005",
(2005),
ISBN: 0-7803-9349-x;
Paper ID RA1-5,
2 pages.
-
J. Kröll, J. Darmo, G. Fasching, G. Strasser, K. Unterrainer:
"Optical processes in terahertz quantum-cascade laser waveguides";
Talk: International School of Solid State Physics,
Erice, Italy (invited);
2005-07-20
- 2005-07-26; in: "International School of Solid State Physics 35th Workshop: Physics and Technology of THz Photonics",
(2005),
3.
-
J. Kröll, J. Darmo, K. Unterrainer:
"High resolution Terahertz time-domain spectroscopy";
Talk: CLEO/IQEC 2004,
San Francisco, USA;
2004-05-16
- 2004-05-21; in: "Technical Digest",
(2004),
Paper ID JMB5,
2 pages.
-
J. Kröll, J. Darmo, K. Unterrainer:
"Ultra-thin metallic layers studied by broadband Terahertz time-domain spectroscopy";
Poster: International Symposium OPTRO 2005,
Paris, France;
2005-05-09
- 2005-05-12; in: "Abstracts",
European Space Agency,
(2005),
3 pages.
-
J. Kröll, J. Darmo, K. Unterrainer, W. Schrenk, G. Strasser:
"Enhanced emission and detection techniques for Terahertz time-domain spectroscopy";
Talk: OSA Topical Meetings: Optical Terahertz Science and Technology,
Orlando, Florida, USA;
2005-03-14
- 2005-03-16; in: "Conference Program",
(2005).
-
P. Kruck, M. Helm, G. Strasser, L. Hvozdara, E. Gornik:
"Quantum Cascade Electroluminescence in the GaAs/AlGaAs material system";
Talk: ITQW,
Tainan, Taiwan;
1997-12-15; in: "Proc. ITQW ´97",
(1997),
120.
-
L. Krüger, J. Hillbrand, J. Heidrich, M Beiser, R. Weih, J. Koeth, C.R. Phillips, B. Schwarz, G. Strasser, U. Keller:
"Gigahertz Mid-Infrared Interband Cascade Detectors: Photo-Response Saturation by a Femtosecond Oscillator";
Talk: CLEO/Europe EQEC 2021,
Virtual Conference;
2021-06-21
- 2021-06-25; in: "Proceedings of Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference",
(2021),
Paper ID Cl-5.6 FRI,
1 pages.
-
J. Kuzmik:
"Advances and prospects of InAlN/GaN HEMTs";
Talk: European Workshop on Heterostructure Technology,
Venice, Italy (invited);
2008-11-03
- 2008-11-05; in: "book of abstracts",
(2008),
141
- 144.
-
J. Kuzmik:
"MORGaN Project: Advanced Semiconductor and Packaging Materials for High Temperature, Pressure and Chemical Sensing Elements";
Talk: 4M Workshop "Devices for Harsh Environmental Applications",
Vienna;
2009-06-08; in: "Proceedings",
(2009).
-
J. Kuzmik, M. Blaho, D. Pogany, E. Gornik, A. Alam, Y. Dikme, M. Heuken, P. Javorka, M. Marso, P. Kordos:
"Backgating, high-current and breakdown characterisation of AlGaN/GaN HEMTs on silicon substrates";
Talk: European Solid-State Device Research Conference (ESSDERC),
Estoril, Portugal;
2003-09-16
- 2003-09-18; in: "Proc. ESSDERC’03",
(2003),
319
- 322.
-
J. Kuzmik, S. Bychikhin, V. Dubec, M. Blaho, M. Marso, P. Kordos, T. Suski, M. Bockowski, I. Grzegory, D. Pogany:
"Characterization of III-Nitride Group Semiconductors and Devices Using Optical Methods";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Cardiff, Unided Kingdom;
2005-05-15
- 2005-05-18; in: "Compound Semiconductor Devices and Integrated Circuits",
(2005),
61
- 62.
-
J. Kuzmik, S. Bychikhin, R. Lossy, H. Würfl, M. di Forte Poisson, J.-P. Teyssier, C. Gaquière, E. Kohn, D. Pogany:
"Thermal boundary resistance between GaN layer and different substrates determined by transient electrical and optical methods";
Poster: International Conference on Physics of Semiconductor (ICPS),
Wien;
2006-07-24
- 2006-07-28; in: "Book of Abstracts",
(2006),
306.
-
J. Kuzmik, S. Bychikhin, R. Lossy, H. Würfl, M. di Forte Poisson, J.-P. Teyssier, C. Gaquière, D. Pogany:
"Investigation of the cooling effect introduced by the airbridge structure in the multifinger AlGaN/GaN HEMTs";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Fiskebäckskil, Schweden;
2006-05-14
- 2006-05-17; in: "Abstract Book WOCSDICE",
(2006),
181
- 183.
-
J. Kuzmik, S. Bychikhin, M. neuburger, A. Dadgar, M. Blaho, A. Krost, E. Kohn, D. Pogany:
"Transient Self-Heating Effects in AlgaN/GaN HEMTs";
Talk: 47th Annual TMS Electronic Materials Conference,
Santa Barbara, California;
2005-06-22
- 2005-06-24; in: "47th Annual TMS Electronic Materials Conference",
(2005),
86.
-
J. Kuzmik, S. Bychikhin, E. Pichonat, C. Gaquière, E. Morvan, D. Pogany:
"Influence of surface trapping on determination of electron saturation velocity in AlGaN/GaN structure";
Poster: International Conference on Physics of Semiconductor (ICPS),
Wien;
2006-07-24
- 2006-07-28; in: "Book of Abstracts",
(2006),
307.
-
J. Kuzmik, S. Bychikhin, D. Pogany:
"Electrical and thermal transient effects in GaN-based HEMT devices";
Talk: European Workshop on III-Nitride Semiconductor Materials and Devices,
Crete, Greece (invited);
2006-09-18
- 2006-09-20; in: "Book of Abstracts",
(2006),
15
- 16.
-
J. Kuzmik, J. Carlin, A. Kostopoulos, G. Konstantinidis, G. Pozzovivo, A. Georgakilas, D. Pogany:
"Gate-lag and drain-lag effects in InAlN/GaN and GaN/InAlN/GaN HEMTs";
Poster: International Workshop on Nitride Semiconductors 2006,
Kyoto, Japan;
2006-10-22
- 2006-10-27; in: "Technical Digest",
(2006),
302.
-
J. Kuzmik, J. Carlin, T. Kostopoulos, G. Konstantinidis, S. Bychikhin, A. Georgakilas, D. Pogany:
"InAlN/(In)GaN HEMTs for high power applications (Ultragan project)";
Talk: MIKON 2006, Workshop on GaN Devices,
Krakow, Poland (invited);
2006-05-22
- 2006-05-24; in: "Workshop on GaN Devices",
(2006),
1
- 14.
-
J. Kuzmik, J. Carlin, T. Kostopoulos, G. Konstantinidis, A. Georgakilas, D. Pogany:
"Technology, Properties and Limitations of State-of-the -art InAlN/GaN HEMTs";
Poster: Device Research Conference,
Santa Barbara , California;
2005-06-20
- 2005-06-22; in: "Device Research Conference",
(2005),
57
- 58.
-
J. Kuzmik, M. di Forte Poisson, D. Gregusova, N. Sarazin, E. Morvan, K. Fröhlich, S. Delage, D. Pogany:
"On state breakdown in InAlN/GaN HEMT";
Talk: 32nd Workshop on Compound Semiconductor Devices and Integrated Circuits,
Leuven, Belgium;
2008-05-18
- 2008-05-21; in: "abstract book",
(2008),
27
- 28.
-
J. Kuzmik, S. Harasek, G. Constantinidis, S. Hascik, D. Pogany, E. Bertagnolli, A. Georgakilas:
"Zr02/GaN metal oxide semiconductor structures characterization and application";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Smolenice Castle, Slovakia;
2004-05-17
- 2004-05-19; in: "Wocsdice 2004",
(2004),
35
- 36.
-
J. Kuzmik, C. Ostermaier, G. Pozzovivo, B. Basnar, W. Schrenk, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean, Y. Douvry, C. Gaquière, J. De Jaeger, G. Strasser, D. Pogany, E. Gornik:
"Role of the gate-to-drain distance in the performance of the normally-off InAlN/GaN HEMTs";
Talk: International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM),
Smolenice, Slovakia;
2010-10-25
- 2010-10-27; in: "ASDAM 2010",
(2010),
163
- 166.
-
J. Kuzmik, G. Pozzovivo, S. Abermann, J. Carlin, M. Gonschorek, K. Cico, K. Fröhlich, N. Grandjean, E. Bertagnolli, G. Strasser, D. Pogany:
"Gate insulation and current collapse suppression in InAlN/GaN HEMTs using High-k dielectrics";
Talk: Conference of Nitride Semiconductors (ICNS),
Las Vegas, USA;
2007-09-16
- 2007-09-21; in: "Proceeding of The 7th Int´l Conference of Nitride Semiconductors (ICNS-7)",
(2007),
41.
-
J. Kuzmik, G. Pozzovivo, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany:
"Off-state breakdown in InAlN/GaN HEMTs";
Talk: Conference of Nitride Semiconductors (ICNS),
Montreux, Switzerland;
2008-10-06
- 2008-10-10; in: "Abstracts",
(2008),
304
- 305.
-
J. Kuzmik, G. Pozzovivo, K. Cico, S. Golka, W. Schrenk, J. Carlin, M. Gonschorek, N. Grandjean, K. Fröhlich, G. Strasser, D. Pogany:
"Technology and performance of Al2O3/InAlN/AlN/GaN MOS HEMTs";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Venice, Italy;
2007-05-20
- 2007-05-23; in: "Proceedings of The 31st Workshop on Compound Semiconductor Devices and Integrated Circuits",
(2007),
359
- 360.
-
J. Kuzmik, G. Pozzovivo, C. Ostermaier, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, E. Gornik, D. Pogany:
"Analysis od degradation mechanisms in InAlN/GaN HEMTs";
Talk: Conference of Nitride Semiconductors (ICNS),
Jeju, Korea;
2009-10-18
- 2009-10-23; in: "Abstract Book",
(2009),
949
- 950.
-
J. Kuzmik, G. Pozzovivo, C. Ostermaier, J. Carlin, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany:
"InAlN/GaN HEMTs: a new perspective in degradation limits of III-N HEMTs?";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Malaga, Spain;
2009-05-17
- 2009-05-20; in: "Program and Book of Abstracts",
(2009),
52
- 55.
-
P. Lagger, S. Donsa, P. Spreitzer, G. Pobegen, M. Reiner, H. Naharashi, J. Mohamed, M. Mösslacher, G. Prechtl, D. Pogany, C. Ostermaier:
"Thermal Activation of PBTI-related Stress and Recovery Processes in GaN MIS-HEMTs Using On-Wafer Heaters";
Talk: International Reliability Physics Symposium (IRPS),
Monterey, USA;
2015-04-19
- 2015-04-23; in: "Proc. International Reliability Physics Symposium (IRPS 2015)",
IEEE,
(2015),
6C.2.1.
- 6C.2.7.
-
P. Lagger, C. Ostermaier, G. Pobegen, D. Pogany:
"Toward understanding the origin of threshold voltage instability of AlGaN/GaN MIS-HEMTs";
Talk: IEEE International Electron Devices Meeting (IEDM),
San Francisco, USA;
2012-12-10
- 2012-12-12; in: "International Electron Devices Meeting (IEDM)",
(2012),
1
- 4.
-
P. Lagger, C. Ostermaier, D. Pogany:
"Enhancement of Vth Drift for Repetitive Gate Stress Pulses due to Charge Feedback Effect in GaN MIS-HEMTs";
Talk: International Reliability Physics Symposium (IRPS),
Waikoloa, Hawai;
2014-06-01
- 2014-06-05; in: "Proceeding of the IEEE International Reliability Physics Symposium (IRPS)",
(2014),
ISBN: 1-58537-258-7;
6C.3.1.
- 6C.3.6.
-
P. Lagger, A. Schiffmann, G. Pobegen, D. Pogany, C. Ostermaier:
"New insights on forward Gate Bias induced Threshold Voltage Instabilities of GaN-Based MIS-HEMTS";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Warnemüde, Deutschland;
2013-05-26
- 2013-05-29; in: "WOCSDICE 2013",
(2013),
161
- 162.
-
S. Lancaster, A. M. Andrews, D. Critterberg, D. MacFarland, W. Schrenk, G. Strasser, H. Detz:
"Optical investigation of surface effects in InGaAs/GaAs radially heterostructured nanowires";
Poster: ICPS 2018,
Montpellier, France;
2018-07-29
- 2018-08-03; in: "International Conference on the Physics of Semiconductors",
(2018),
81.
-
S. Lancaster, A. M. Andrews, T. Zederbauer, D. MacFarland, H. Detz, G. Strasser:
"Schottky barrier formation in GaAs nanowires by heterogeneous contact deposition";
Poster: International Conference Functional Integrated nano Systems,
Graz;
2016-06-27
- 2016-06-29; in: "Conference Documentation",
(2016).
-
S. Lancaster, H. Detz, M. Kriz, D. MacFarland, M. Schinnerl, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Focused ion beam implantation for the nucleation of self-catalyzed III-V nanowires";
Talk: 42nd International Conference on Nano Engineering, MNE 2016,
Wien;
2016-09-19
- 2016-09-23; in: "Technical digest",
(2016),
Paper ID A6-1-4,
1 pages.
-
S. Lancaster, H. Groiss, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Effect of boron addition during GaAs nanowire growth on morphology and electrical properties";
Poster: European Materials Research Society (EMRS),
Straßbourg Convention Centre;
2018-06-18
- 2018-06-22; in: "EMRS Spring Meeting 2018",
(2018),
K11.
-
S. Lancaster, H. Groiss, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Electrical properties of GaAs/BGaAs nanowires";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2018-02-25
- 2018-03-02; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
242
- 243.
-
S. Lancaster, H. Groiss, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Structural and electrical properties of BGaAs nanowires";
Talk: International Conference on Nanoscience and Technology (ICNT),
BVV Trade Fairs Brno;
2018-07-22
- 2018-07-27; in: "Programme Brochure 2018 International Conference on Nanoscience + Technology (ICNT)",
(2018),
111
- 112.
-
S. Lancaster, H. Groiss, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Influence of boron incorporation in GaAs nanowires grown by self-catalysed MBE";
Poster: Austrian MBE Workshop 2017,
Wien;
2017-09-28
- 2017-09-29; in: "Austrian MBE Workshop",
(2017),
55.
-
S. Lancaster, M. Kriz, M. Capriotti, M. Schinnerl, G. Lilley, H. Detz, G. Strasser:
"III-V Nanowires for Optoelectronic Applications";
Poster: Vienna Young Scientists Symposium - VSS 2015,
Vienna University of Technology;
2015-06-25
- 2015-06-26; in: "VSS - VIENNA young SCIENTISTS SYMPOSIUM, June 25-26 2015",
Book-of-Abstracts.com, Heinz A. Krebs,
Gumpoldskirchen, Austria
(2015),
ISBN: 978-3-9504017-0-7;
144
- 145.
-
S. Lancaster, D. MacFarland, M. Capriotti, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"InGaAS/GaAs multi-quantum-well core-shell nanowires for optoelectronic applications";
Talk: International Conference on Micro- and Nano Engineering (MNE),
Braga;
2017-09-18
- 2017-09-22; in: "43rd International Conference on Micro and Nanoengineering",
(2017),
137.
-
S. Lancaster, M. Schinnerl, A. M. Andrews, M. Sistani, A. Lugstein, W. Schrenk, G. Strasser, H. Detz:
"Optically active nanowires nucleated via a novel focused ion beam implantation method";
Talk: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
2019-08-26
- 2019-08-30; in: "Gemeinsame Jahrestagung in Zürich ÖPS, SPS",
(2019),
42.
-
J. Lautenschläger, D. Auth, Ch. Weber, L. Wegert, D. Kazakov, A. Klehr, A. Knigge, J. Hillbrand, B. Schwarz, F. Capasso, S. Breuer:
"Frequency comb seeding of a single-mode near-infrared semiconductor laser";
Talk: Online Conference - Photonics West 2021,
California;
2021-03-06
- 2021-03-12; in: "Proceedings Volume 11705, Novel In-Plane Semiconductor Lasers XX",
(2021),
Paper ID 1170504,
1 pages.
More information
-
V. Lavchiev, W. Jantsch, D. Pogany:
", A quantum dot nanoimprinted DFB laser";
Poster: European Materials Research Society (EMRS),
Strassbourg;
2009-06-08
- 2009-06-12; in: "Symposium K, E-MRS 2009 Spring Meeting",
(2009),
1
- 5.
-
N. Le Biavan, M. Hugues, B. Meng, M. Montes Bajo, J. Tamayo-Arriola, A. Jollivet, B. Hinkov, H.T. Hoang, A. Torres-Pardo, D. Lefebvre, Y. Cordier, B. Vinter, M. Tchernycheva, F.H. Julien, G. Strasser, A. Hierro, J. Faist, J. Chauveau:
"Electronic coupling in ZnO asymmetric quantum wells for intersubband cascade devices";
Talk: SPIE Photonics West 2020,
San Francisco;
2020-02-01
- 2020-02-06; in: "Proceedings Volume 11281, Oxide-based Materials and Devices XI",
(2020),
Paper ID 11281-58,
1 pages.
More information
-
J. Lebon, G. Jenicot, P Moens, D. Pogany, S. Bychikhin:
"EC vs HBM: How to optimize on-chip protections to handle both requirements?";
Poster: EOS/ESD Symposium,
Anaheim, USA;
2009-08-30
- 2009-09-04; in: "EOS/ESD Symposium 09",
(2009),
1
- 6.
-
B. Limbacher, M. A. Kainz, S. Schönhuber, M. Jaidl, D Theiner, M. Wenclawiak, C.G. Derntl, A. M. Andrews, H. Detz, G. Strasser, A. Schwaighofer, B. Lendl, J. Darmo, K. Unterrainer:
"Electronic Transport in Polaritonic Semiconductor Heterostructure Systems";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
Paper ID 413,
1 pages.
-
B. Limbacher, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, A. M. Andrews, H. Detz, A. Schwaighofer, B. Lendl, G. Strasser, J. Darmo, K. Unterrainer:
"Investigation of Electrical Transport in Semiconductor Heterostructure Devices Coupled Strongly to the Light Field";
Poster: CLEO/Europe-EQEC 2019,
München;
2019-06-23
- 2019-06-27; in: "CLEO/Europe-EQEC 2019",
IEEE,
(2019),
ISBN: 978-1-7281-0469-0.
-
B. Limbacher, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, A. M. Andrews, H. Detz, G. Strasser, A. Schwaighofer, B. Lendl, J. Darmo, K. Unterrainer:
"Resonant Tunneling Diodes Strongly Coupled to the Cavity Field";
Poster: Online Conference - International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
2020-09-07
- 2020-09-10; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
More information
-
B. Limbacher, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, A. M. Andrews, G. Strasser, A. Schwaighofer, B. Lendl, J. Darmo, K. Unterrainer:
"Intersubband Polaritons in Triple Barrier Resonant Tunneling Diodes";
Talk: IRMMW-THz 2019,
Paris, France;
2019-09-01
- 2019-09-06; in: "Proceedings of the 44th International Conference on Infrared, Millimeter, and Terahertz Waves",
IEEE Computer Society,
2019-September
(2019),
ISBN: 978-153868285-2;
1
- 2.
-
B. Limbacher, M. Krall, M. Brandstetter, C. Deutsch, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Inverse Bandstructure Engineering of Alternative Barrier Materials for InGaAs-based Terahertz Quantum Cascade Lasers";
Poster: Austrian MBE Workshop 2017,
Wien;
2017-09-28
- 2017-09-29; in: "Austrian MBE Workshop",
(2017),
61.
-
B. Limbacher, M. Krall, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Intersubband Polaritonic Effects in Triple Barrier Resonant Tunneling Diodes";
Talk: Optical Terahertz Science and Technology OTST- 2019,
Santa Fe, New Mexico, USA;
2019-03-10
- 2019-03-15; in: "International Conference on Optical Terahertz Science and Technology",
(2019),
Paper ID Th-A1-6,
1 pages.
-
B. Limbacher, M. Krall, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Intersubband polaritons in modified triple barrier resonant tunneling diodes";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
2018-02-25
- 2018-03-02; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
1
- 2.
-
B. Limbacher, M. Krall, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Intersubband Polaritons in Triple Barrier Resonant Tunneling Diodes";
Poster: EOS 2018,
Berlin, Germany;
2018-05-06
- 2018-05-09; in: "Proceedings: Topical Meeting on Terahertz Science & Technology",
(2018),
ISBN: 978-952-68553-5-6;
1
- 2.
-
B. Limbacher, M. Krall, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Strong Light-Matter Interaction in Triple Barrier Resonant Tunneling Diodes";
Poster: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
2018-09-02
- 2018-09-07; in: "Book of Abstracts",
(2018),
3 pages.
-
B. Limbacher, M. Krall, M. A. Kainz, S. Schönhuber, M. Wenclawiak, C.G. Derntl, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Strong Light-Matter Interaction in Triple-Barrier Resonant Tunneling Diodes";
Talk: 2Dnano 2018,
Erice, Italy;
2018-07-14
- 2018-07-20; in: "Proceedings of Frontiers of photonics, plasmonics and electronics with 2D nanosytems",
(2018),
Paper ID 431,
2 pages.
-
B. Limbacher, S. Schönhuber, N. Bachelard, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, S. Rotter, K. Unterrainer:
"All-Optical Control of Quantum Cascade Random Lasers Enhanced by Deep Learning";
Talk: CLEO/Europe EQEC 2021,
Virtual Conference;
2021-06-21
- 2021-06-25; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC)",
IEEE Xplore,
(2021),
ISBN: 978-1-6654-1876-8;
Paper ID CC-7.5,
1 pages.
-
B. Limbacher, S. Schönhuber, N. Bachelard, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, S. Rotter, K. Unterrainer:
"Deep Learning Enhanced Optical Control of Quantum Cascade Random Lasers";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
Paper ID 156,
1 pages.
-
B. Limbacher, S. Schönhuber, N. Bachelard, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, S. Rotter, K. Unterrainer:
"Towards Holistic Control of THz Quantum Cascade Random Lasers";
Talk: Online Conference CLEO 2021,
Virtual Conference;
2021-05-09
- 2021-05-14; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics (CLEO)",
IEEE Xplore,
(2021),
ISBN: 978-1-943580-91-0;
Paper ID SM1K.7,
2 pages.
-
B. Limbacher, S. Schönhuber, M. Wenclawiak, M. A. Kainz, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Terahertz Optical Machine Learning";
Talk: CLEO/Europe EQEC 2021,
Virtual Conference;
2021-06-21
- 2021-06-25; in: "Proceedings of 2021 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC)",
IEEE Xplore,
(2021),
ISBN: 978-1-6654-1876-8;
Paper ID CC-5.4,
1 pages.
-
M. Litschauer, B. Basnar, M.-A. Néouze:
"Pseudo-click chemistry to build Ionic Nanoparticle Networks: A way toward metamaterials";
Talk: 10th International Conference on Materials Chemistry (MC10),
University of Manchester, Manchester, United Kingdom;
2011-07-04
- 2011-07-07; in: "10th International Conference on Materials Chemistry (MC10) - Book of Abstracts",
(2011),
ATN_O22.
More information
-
M. Litschauer, M. Czakler, B. Basnar, M. Puchberger, H. Peterlik, M.-A. Néouze:
"Building and tailoring ionic nanoparticle networks";
Poster: Second International Conference on Multifunctional, Hybrid and Nanomaterials,
Strasbourg, France;
2011-03-06
- 2011-03-10; in: "Hybrid Materials 2011 - Programme",
Elsevier,
(2011),
1 pages.
More information
-
M. Litzenberger, C. Fürböck, D. Pogany, E. Gornik, K. Esmark, H. Gossner:
"Investigaion of 3D Phenomena in the Triggering of gg-nMOS Electrostatic Discharge Protection Devices";
Talk: European Solid-State Device Research Conference (ESSDERC),
Cork, Ireland;
2000-09-11
- 2000-09-13; in: "Proceeding ESSDERC 2000",
(2000),
520
- 523.
-
M. Litzenberger, C. Fürböck, D. Pogany, E. Gornik, K. Esmark, G. Groos, M. Stecher:
"Study of trigger homogeneity in ESD protection devices using backside laser interferometry";
Talk: Informationstagung Mikroelektronik (ME),
Wien;
2001-10-10
- 2001-10-11; in: "Study of trigger homogeneity in ESD protection devices using backside laser interferometry",
(2001),
265
- 270.
-
M. Litzenberger, R. Pichler, C. Fürböck, S. Bychikhin, D. Pogany, E. Gornik, K. Esmark, G. Groos, H. Gossner, M. Stecher:
"Laser-interferometric investigation of tiggering behavior in CMOS and smart power technology electrostatic discharge (ESD) protection sturctures";
Talk: GMe Forum 2001,
Wien;
2001-04-05
- 2001-04-06; in: "Laser-interferometric investigation of tiggering behavior in CMOS and smart power technology electro",
(2001),
ISBN: 3-901578-07-2;
129
- 132.
-
M. Litzenberger, R. Pichler, D. Pogany, E. Gornik, K. Esmark, H. Gossner:
"Influence of Lyout parameters on Triggering Behaviour in 0.35µm and 0.18µm Process gg-nMOS ESD Protection Devices";
Poster: European Solid-State Device Research Conference (ESSDERC),
Nürnberg, Germany;
2001-09-11
- 2001-09-13; in: "Influence of Lyout parameters on Triggering Behaviour in 0.35µm and 0.18µm Process gg-nMOS ESD Prote",
(2001),
335
- 338.
-
M. Litzenberger, D. Pogany, E. Gornik, K. Esmark, H. Gossner:
"Influence of stress current and pulse risetime on triggering behavior of submicron gg-NMOSFET protection devices investigated by a thermal mapping tec";
Talk: 7th ESD-Forum,
Berlin, Deutschland;
2001-12-11
- 2001-12-12; in: "Influence of stress current and pulse risetime on triggering behavior of submicron gg-NMOSFET protec",
(2001),
105.
-
A. Lugstein, W. Brezna, E. Bertagnolli:
"Impact of focused Ion Beam Assisted Front End Processing on n-MOSFET Degradation";
Talk: 40th IEEE, Int. Reliability Physics Symposium,
Dallas, USA;
2002-04-02
- 2002-04-06; in: "Proc. of 40th IEEE, Int. Reliability Physics Symposium IRPS",
A. Lugstein, W. Brezna, E. Bertagnolli (ed.);
(2002),
369
- 375.
-
A. Lugstein, W. Brezna, B. Goebel, L. Palmetshofer, E. Bertagnolli:
"Post-Process CMOS Front End Engineering With Focused Ion Beams";
Talk: European Solid-State Device Research Conference (ESSDERC),
Florence, Italy;
2002-09-24
- 2002-09-26; in: "Proc. 32nd European Solid State Device Research Conference",
A. Lugstein, W. Brezna, B. Goebel, L. Palmetshofer, E. Bertagnolli (ed.);
(2002),
111
- 114.
-
A. Lugstein, C. Schöndorfer, E. Bertagnolli:
"Synthesis of Nanowires in Room Temperature Ambient with Focused Ion Beams";
Talk: GMe Workshop 2006,
Wien (invited);
2006-10-13; in: "GMe Workshop 2006 Proceedings",
K. Riedling (ed.);
(2006),
ISBN: 978-3-901578-17-5;
15
- 18.
-
B. Lutzer, O. Bethge, C. Zimmermann, E. Bertagnolli:
"Schottky-barrier Si and Ge MOSFETs with ALD grown bilayer gate dielectrics";
Talk: International Workshop Atomic Layer Deposition Russia,
Moscow, Russia;
2015-09-21
- 2015-09-23; in: "ALD Russia 2015",
(2015),
31
- 32.
-
B. Lutzer, O. Bethge, C. Zimmermann, M. Hummer, H. Hutter, M. Stöger-Pollach, E. Bertagnolli:
"ALD grown bilayer gate stacks for Schottky-barrier Si and Ge MOSFET";
Talk: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
2015-09-01
- 2015-09-04; in: "Gemeinsame Jahrestagung in Wien - ÖPG Tagungsband",
(2015),
48.
-
B. Lutzer, O. Bethge, C. Zimmermann, S. Simsek, J. Smoliner, E. Bertagnolli:
"Characterization of Ultra-Thin Metal on Silicon Structures for Future Field Effect Devices";
Talk: XII International Conference on Nanostructured Materials (NANO 2014),
Moskau, Russland;
2014-07-13
- 2014-07-18; in: "Nano 2014",
Book of Abstracts,
(2014),
111.
-
D. MacFarland, M. Krall, H. Detz, O. Bethge, T. Zederbauer, A. M. Andrews, W. Schrenk, M. Brandstetter, K. Unterrainer, G. Strasser:
"Growth and Characterization of AlGaInAs for use as the Barrier Material in Terahertz Quantum Cascade Lasers";
Poster: ITQW,
Wien;
2015-09-06
- 2015-09-11; in: "Technical Digest",
(2015),
252
- 253.
-
M. Madl, W. Brezna, G. Strasser, P. Klang, A. M. Andrews, J. Smoliner:
"pcAFM-based spectroscopic investigations of buried InAs quantum dots";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "16th International Winterschool Mauterndorf",
(2010),
83.
-
E. Magerl, F. Schrey, G. Fasching, G. Strasser, K. Unterrainer:
"Confocal microscopy on single self assembled quantum dots";
Poster: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien;
2005-09-27
- 2005-09-29; in: "Book of Abstract",
(2005),
113
- 114.
-
J. Maier, H. Detz, G. Strasser:
"Atomistic Modeling of III-V Semiconductor Interfaces";
Poster: Vienna Young Scientists Symposium - VSS 2015,
Vienna University of Technology;
2015-06-25
- 2015-06-26; in: "VSS - VIENNA young SCIENTISTS SYMPOSIUM, June 25-26 2015",
Book-of-Abstracts.com, Heinz A. Krebs,
Gumpoldskirchen, Austria
(2015),
ISBN: 978-3-9504017-0-7;
38
- 39.
-
T. Maier, G. Strasser, E. Gornik:
"GaAs VCSELs with dielectric Si3N4/SiO2 mirrors";
Talk: Aktuelle Entwicklungen der Mikroelektronik,
Bad Hofgastein;
1999-03-03
- 1999-03-06; in: "Current developments of microelectronics",
(1999),
ISBN: 3-901578-04-8;
111.
-
T. Maier, G. Strasser, E. Gornik, M. Moser, R. Hoevel:
"Integrated vertical-cavity laser diodes and resonant photodetectors with hybrid Si3N4/SiO2 top Bragg mirrors";
Talk: LEOS,
Boston;
1999-07-01; in: "Proc. LEOS ´99",
(1999),
ISBN: 0-7803-5634-9;
677.
-
P. Marko, A. Alexewicz, O. Hilt, G. Meneghesso, J. Würfl, E. Zanoni, G. Strasser, D. Pogany:
"Random telegraph noise and bursts in reverse-bias-stressed AlGaN/GaN HEMTs";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Island of Porquerolles, Frankreich;
2012-05-28
- 2012-06-01; in: "WOCSDICE-EXMATEC 2012",
(2012),
1
- 2.
-
G. Marschick, M. David, A Delga, N Opacak, B. Schwarz, M Lagree, T. Poletti, A. Evirgen, B. Gerard, G. Strasser, B. Hinkov:
"A novel quantum cascade detector (QCD) for low attenuation free-space telecommunication around 9 µm wavelength";
Talk: 15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD),
virtuell;
2021-09-01
- 2021-09-03; in: "15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD)",
(2021),
Paper ID O2-2,
1 pages.
-
G. Marschick, M. David, A Delga, N Opacak, B. Schwarz, M. Legree, T. Poletti, A. Evirgen, B. Gerard, G. Strasser, B. Hinkov:
"Quantum cascade detectors: A 9-μm device optimized for low-attenuation free-space optical communication";
Talk: SPIE Photonics West 2022 (SPIE OPTO),
San Francisco;
2022-01-22
- 2022-01-27; in: "Proceedings Volume PC12021, Novel In-Plane Semiconductor Lasers XXI",
PC12021
(2022),
Paper ID PC120210K,
1 pages.
More information
-
G. Marschick, M. David, S. Isceri, A Delga, N Opacak, B. Schwarz, M Lagree, T. Poletti, A. Evirgen, B. Gerard, A. M. Andrews, G. Strasser, B. Hinkov:
"Novel quantum cascade detectors (QCD) for telecommunication applications between 9 - 10 µm wavelength";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
Paper ID 153,
1 pages.
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer:
"Experimental Evaluation of Metal-Metal Terahertz Quantum Cascade Laser Gain and Loss by Terahertz Time-Domain Spectroscopy";
Talk: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
2011-01-19
- 2011-01-20; in: "Conference Digest",
(2011),
1 pages.
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer:
"Terahertz Time Domain Spectroscopy of Metal-Metal THz Quantum Cascade Lasers";
Talk: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
2011-03-13
- 2011-03-17; in: "International Workshop on Optical Terahertz Science and Technology-Workshop Program",
(2011),
Paper ID MD5,
1 pages.
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. Benz, A. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"Metal-Metal THz Quantum Cascade Laser Gain and Loss Investigated by THz Time Domain Spectroscopy";
Talk: CLEO 2011,
Baltimore, USA;
2011-05-01
- 2011-05-06; in: "Technical Digest",
(2011),
ISBN: 978-1-55752-910-7;
Paper ID CThE2,
2 pages.
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. Benz, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer:
"Analysis of the optical properties of terahertz quantum cascade laser with double-metal waveguide";
Talk: ITQW,
Badesi, Italy;
2011-09-11
- 2011-09-17; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. Benz, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer:
"Probing double metal THz quantum cascade Iaser dynamics by THz time-domain spectroscopy";
Talk: EDISON 17,
Santa Barbara, USA;
2011-08-07
- 2011-08-12; in: "Technical Digest",
(2011),
Paper ID Th2.2,
2 pages.
-
M. Martl, J. Darmo, D. Dietze, C. Deutsch, A. Benz, M. Brandstetter, K. Unterrainer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, E. Gornik:
"Broadband Terahertz Pulse Propagation in Subwavelength Size Quantum Cascade Laser Waveguides";
Talk: EOS Annual Meeting 2010 (EOSAM 2010),
Paris, Frankreich;
2010-10-26
- 2010-10-29; in: "Book of Abstracts",
(2010),
ISBN: 978-3-00-030509-2;
2 pages.
-
M. Martl, J. Darmo, D. Dietze, C. Deutsch, A. Benz, M. Brandstetter, K. Unterrainer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, E. Gornik:
"Terahertz Spectroscopy of Double Metal Quantum Cascade Structures";
Talk: 35th International Conference on Infrared, Millimeter and Terahertz Waves,
Rom, Italien;
2010-09-05
- 2010-09-10; in: "Book of Abstracts",
(2010),
ISBN: 978-1-4244-6656-6;
2 pages.
-
M. Martl, J. Darmo, D. Dietze, C. Deutsch, M. Brandstetter, A. Benz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Time Domain Spectroscopy of Coupled Cavity THz Quantum Cascade Lasers with Metal-MetalWaveguide";
Talk: CLEO Europe 2011,
Munich, Germany;
2011-05-22
- 2011-05-26; in: "EQEC 2011 - Conference Digest",
(2011),
ISBN: 978-1-4577-0532-8;
Paper ID CC1.2 SUN,
1 pages.
-
M. Martl, J. Darmo, D. Dietze, K. Unterrainer, C. Deutsch, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Studies of Terahertz Quantum Cascade Lasers by Terahertz Microchip Emitters";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "Book of Abstracts",
(2010),
192
- 193.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Propagation of surface plasmon polaritons on periodic metal arrays";
Talk: IRMMW-THz 2007,
Cardiff, UK;
2007-09-03
- 2007-09-07; in: "Conference Digest",
Vol 1, 07EX1863
(2007),
ISBN: 1-4244-1438-5;
2 pages.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Terahertz Subwavelength Waveguide Emitters";
Talk: 33rd International Conference on Infrared. Milimeter, and Terahertz Waves,
Pasadena, California,USA;
2008-09-15
- 2008-09-19; in: "Conference Guide",
(2008),
ISBN: 978-1-4244-2120-6;
1 pages.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Terahertz Surface Plasmon on Chirped Groove Grating";
Talk: CLEO/QELS and PhAST 2008,
San Jose, California, USA;
2008-05-04
- 2008-05-09; in: "Technical Digest CD-ROM",
(2008),
Paper ID JWA43,
3 pages.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Terahertz surface plasmon polaritons on etched grooves";
Talk: EOS Annual Meeting 2008,
Paris.Nord, Frankreich (invited);
2008-09-30
- 2008-10-02; in: "Book of Abstracts",
(2008),
60.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Terahertz Waveguide Emitter for Investigation of Subwavelength Structures";
Talk: CLEO/Europe-EQEC 2009,
München, Deutschland;
2009-06-14
- 2009-06-19; in: "Technical Digest",
(2009),
ISBN: 978-1-4244-4080-1;
Paper ID JSIV1.5,
1 pages.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"Terahertz Waveguide Emitters with Subwavelength Confinement";
Talk: Cleo Iqec 2009,
Baltimore Convention Center, Baltimore, Maryland, USA;
2009-05-31
- 2009-06-05; in: "Technical Digest",
(2009),
ISBN: 978-1-55752-869-8;
Paper ID CThQ4,
2 pages.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik:
"THz time domain spectroscopy of surface electromagnetic waves";
Poster: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brasilien;
2008-06-27
- 2008-07-01; in: "Book of Abstracts",
(2008),
587.
-
M. Martl, J. Darmo, K. Unterrainer, E. Gornik, W. Parz:
"Terahertz Waveguide Emitters for the Investigation of Subwavelength Photonic Structures";
Poster: Informationstagung Mikroelektronik ME10,
Wien, Österreich;
2010-04-07
- 2010-04-08; in: "Book of Abstract",
(2010),
ISBN: 978-3-85133-055-7;
32
- 36.
-
M. Martl, C. Deutsch, M. Brandstetter, A. Benz, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer, J. Darmo:
"Analysis of terahertz quantum cascade laser optical properties using a monolithically integrated THz emitter";
Poster: International THz Conference,
Villach, Österreich;
2011-11-24
- 2011-11-25; in: "Conference Program",
(2011),
ISBN: 978-3-85403-287-8;
5 pages.
-
M. Martl, C. Deutsch, M. Krall, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, J. Darmo:
"Multi-cavity terahertz quantum cascade laser systems";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
2012-09-02
- 2012-09-06; in: "International Quantum Cascade Lasers School & Workshop 2012",
(2012),
ISBN: 978-3-901578-24-3;
160.
-
M. Martl, C. Deutsch, M. Krall, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, J. Darmo:
"Multi-Cavity Terahertz Quantum Cascade Laser Systems";
Poster: GMe Forum 2013,
Vienna;
2013-06-06
- 2013-06-07; in: "Proceedings of the GMe Forum 2013",
(2013),
ISBN: 978-3-901578-27-4.
-
M. Martl, D. Dietze, J. Darmo, C. Deutsch, A. Benz, M. Brandstetter, K. Unterrainer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, E. Gornik:
"Investigation of Double Metal THz Quantum Cascade Lasers by Terahertz Time-domain Spectroscopy";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
2010-08-30
- 2010-09-03; in: "Book of Abstracts",
(2010),
1 pages.
-
M. Martl, D. Dietze, J. Darmo, C. Deutsch, A. Benz, M. Brandstetter, K. Unterrainer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, E. Gornik:
"Investigation of Double Metal THz Quantum Cascade Lasers by Terahertz Time-Domain Spectroscopy";
Poster: GMe Forum 2011,
Vienna, Austria;
2011-04-14
- 2011-04-15; in: "Proceedings of the GMe Forum 2011",
(2011),
ISBN: 978-3-901578-23-6;
2 pages.
-
M. Martl, D. Dietze, W. Parz, C. Deutsch, A. Benz, J. Darmo, T. Müller, G. Fasching, A. M. Andrews, G. Strasser, K. Unterrainer:
"Phase-resolved THz spectroscopy";
Talk: International Conference on Coherent and Nonlinear Optics (ICONO 2010),
Kazan, Russland (invited);
2010-08-23
- 2010-08-26; in: "Book of Abstracts",
(2010),
23.
-
M. Martl, D. Dietze, W. Parz, C. Deutsch, A. Benz, J. Darmo, T. Müller, G. Fasching, A. M. Andrews, G. Strasser, K. Unterrainer:
"Phase-resolved Thz time domain studies of quantum cascade lasers";
Talk: 10th International Workshop on Nonlinear Optics and Excitation Kinetics in Semiconductors,
Paderborn, Deutschland (invited);
2010-08-16
- 2010-08-19; in: "Book of Abstracts",
(2010),
16.
-
M. Martl, M. Krall, C. Deutsch, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, J. Darmo:
"THz quantum cascade laser absorption studies with coupled cavities";
Talk: EOS Topical Meeting on Terahertz & Science Technology (TST 2012),
Prag;
2012-06-17
- 2012-06-20; in: "Technical Digest",
(2012),
ISBN: 978-3-9815022-1-3;
Paper ID 5310,
2 pages.
-
R. Meisels, O. Glushko, S. Kalchmair, G. Strasser:
"3D FDTD simulations of photonic devices";
Talk: Annual Meeting of the Austrian & Swiss Phys.l Soc,
Innsbruck;
2009-09-02
- 2009-09-04; in: "Programme and Abstracts",
(2009),
88.
-
R. Meisels, O. Glushko, S. Kalchmair, G. Strasser:
"Resonant Polarization Conversion and Extraordinary Transmission in Photonic Crystal Slabs Covered with Metal";
Poster: 60th Annual Meeting Austrian Physical Society,
Salzburg;
2010-09-06
- 2010-09-10; in: "60th Annual Meeting Austrian Physical Society",
(2010),
187
- 189.
-
B. Meng, B. Hinkov, H.T. Hoang, M. Hugues, N. Le Biavan, D. Stark, G. Strasser, J. Chauveau, J. Faist:
"Terahertz intersubband electroluminescence from ZnO quantum cascade structures";
Talk: IRMMW-THz 2020,
Buffalo, New York, USA;
2020-11-08
- 2020-11-13; in: "45th International Conference on Infrared, Millimeter, and Terahertz Waves",
(2020),
ISBN: 978-1-7281-6620-9;
333.
More information
-
C. Messner, H. Kostner, R.A. Höpfel, K. Unterrainer:
"Ultrafast optical-pump-THz-probe spectroscopy on radiation-damaged InP";
Talk: International Quantum Electronics Conference,
San Francisco;
1998-09-01; in: "Proc. International Quantum Electronics Conference `98",
(1998),
190.
-
J.S. Michaelis, K. Unterrainer, E. Gornik, E. Bauser, H. Riechert:
"Two-photon luminescence spectroscopy of excition fine structure in GaAs";
Talk: International Conference of the Physics of Semiconductors,
San Diego;
1995-07-01; in: "Proc. of the 22nd Int. Conf. on the Physics of Semiconductors",
(1995),
325.
-
J. Mika, A. Amon, K. Schwarz, M. Stanger, J. Kou, H. D. Wanzenböck, S. Huck, E. Bertagnolli:
"Neural electrical activity measurements of isolated axons using multi-electrode arrays";
Talk: International Meeting on Substrate-Integrated Microelectrode Arrays,
Reutlingen, Deutschland;
2012-07-10
- 2012-07-13; in: "Proceedings MEA Meeting 2012",
(2012),
262
- 264.
-
J. Mika, K. Schwarz, H. D. Wanzenböck, P. Scholze, E. Bertagnolli:
"Simultaneous Electrical Investigation of Isolated Neurites Using a Neurite-Isolation Device as Neurite Regeneration Model";
Talk: MEA Meeting 2014 - 9th Intern. Meeting on Substrate-Integrated Microelectrode Arrays,
Reutlingen;
2014-07-01
- 2014-07-04; in: "Proceedings MEA Meeting 2014",
(2014),
322
- 323.
-
J. Mika, H. D. Wanzenböck, P. Scholze, E. Bertagnolli:
"Optical microscopy of artificially isolated axons on microelectronic measurement platform";
Poster: Microscopy Conference,
Regensburg, Deutschland;
2013-08-25
- 2013-08-30; in: "MC 2013 Proceedings",
(2013),
266
- 267.
-
J. Mika, H. D. Wanzenböck, K. Schwarz, P. Scholze, E. Bertagnolli:
"Electrical and Morphological Properties of Neurites investigated by a Novel Microelectrode-Microfluidic Device";
Poster: Annual Meeting of the Austrian Neuroscience Association,
Wien;
2013-09-16
- 2013-09-19; in: "Intrinsic Activity, 2013",
(2013),
1.
-
J. Mika, H. D. Wanzenböck, M. Shawrav, M. Gavagnin, B. Ismail, A. Lugstein, C. Zeiner, E. Bertagnolli:
"In-situ chlorine etching of nanowires by focused electron beam - a direct-modification approach for tailoring nanowire properties";
Poster: Microscopy Conference,
Regensburg, Deutschland;
2013-08-25
- 2013-08-30; in: "MC 2013 Proceedings",
(2013),
740
- 741.
-
P Moens, S. Bychikhin, K Reynders, D. Pogany, M Zubeidat:
"Effects of Hot Spot Hopping and Drain Ballasting in Integrated Vertical DMOS Devices under TLP Stress";
Talk: International Reliability Physics Symposium (IRPS),
Phoenix, USA;
2004-04-25
- 2004-04-29; in: "Proceedings of the IRPS 2004",
(2004),
241
- 246.
-
P Moens, K Reynders, S. Bychikhin, D. Pogany, M Zubeidat:
"Optimization of Integrated Vertical DMOS Transistors for ESD Robustness";
Talk: ISPSD,
Kitakyushu, Japan;
2004-05-24
- 2004-05-27; in: "Proceeding of 2004 International Symposium on Power Semiconductor Devices & ICs",
(2004),
221
- 224.
-
T. Moldaschl, T. Müller, S. Golka, W. Parz, G. Strasser, K. Unterrainer:
"Coherent control of ground state excitons in the nonlinear regime within an ensemble of InAs quantum dots";
Talk: The 5th International Conference on Semiconductor Quantum Dots,
Gyeongiu, Korea (invited);
2008-05-11
- 2008-05-16; in: "Book of Abstracts",
(2008),
270.
-
T. Moldaschl, T. Müller, S. Golka, W. Parz, G. Strasser, K. Unterrainer:
"Spectral hole burning spectroscopy experiments in InAs/GaAs quantum dots";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
2008-02-18
- 2008-02-22; in: "Book of Abstracts",
(2008),
108
- 109.
-
T. Moldaschl, T. Müller, S. Golka, G. Strasser, K. Unterrainer:
"Acoustic phonon assisted damping of Rabi oscillations in InAs quantum dots";
Poster: International Conference on Electronic Properties of Two-Dimensional Systems and Modulated Semiconductor Structures,
Genova, Italy;
2007-07-15
- 2007-07-20; in: "Abstract Book",
(2007),
178
- 179.
-
T. Moldaschl, T. Müller, S. Golka, G. Strasser, K. Unterrainer:
"Acoustic phonon assisted damping of Rabi oscillations in InAs quantum dots";
Talk: 57. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Donau-Universität Krems, Austria;
2007-09-24
- 2007-09-28; in: "Tagungsprogramm",
(2007),
36.
-
T. Moldaschl, T. Müller, S. Golka, G. Strasser, K. Unterrainer:
"Damping of Rabi oscillations in InAs quantum dots due to acoustic phonons";
Talk: CLEO/Europe - IQEC 2007,
Munich, Germany;
2007-06-17
- 2007-06-22; in: "Advance Programme",
(2007).
-
T. Moldaschl, T. Müller, W. Parz, S. Golka, G. Strasser, K. Unterrainer:
"Excitonic fine Structure at low Magnetic fields in Quantum Dots";
Poster: 11th International Conference on the Optics of Excitons in Confined Systems (OECS11),
Madrid, Spanien;
2009-09-07
- 2009-09-11; in: "Book of Abstracts",
(2009),
43.
-
T. Moldaschl, W. Parz, T. Müller, S. Golka, G. Strasser, K. Unterrainer:
"Two-Photon Excitation of InAs/gAsS Quantum Dots";
Talk: 60th Annual Meeting Austrian Physical Society,
Salzburg;
2010-09-06
- 2010-09-10; in: "60th Annual Meeting Austrian Physical Society",
(2010),
111
- 112.
-
A.J. Molina- Mendoza, M. Paur, R. Bratschitsch, K. Watanabe, T. Taniguchi, T. Müller:
"Electroluminescence from multi-particle exciton complexes in monolayer WSe2";
Poster: EMRS Spring Meeting 2019,
Nice, France;
2019-05-27
- 2019-05-31; in: "International Conference on Advanced Materials",
(2019),
1
- 2.
-
W. Molnar, C. Bauch, P. Pongratz, A. Lugstein, E. Bertagnolli:
"Si-NW syntehesis by using octachlorotrisilange as novel precursor";
Poster: Junior Scientist Conference 2010 (JSC 2010),
Wien;
2010-04-07
- 2010-04-09; in: "Junior Scientist Conference 2010 Proceedings",
(2010),
241
- 242.
-
H. Moser, M. Brandstetter, A. Genner, E. Mujagic, C. Gmachl, G. Strasser, B. Lendl:
"Time-resolved spectral behavior of ring cavity surface emitting QCLs (RCSE-QCLs)";
Talk: International Workshop on Opportunities and Challanges in Mid-infrared Laser-based Gas Sensing, Mirsens2,
Wroclaw, Poland;
2012-10-18
- 2012-10-20; in: "International Workshop on Opportunities and Challanges in Mid-infrared Laser-based Gas Sensing, Mirsens2",
(2012),
41.
-
T. Müller, R. Bratschitsch, N. Finger, G. Strasser, K. Unterrainer, C. Sirtori:
"Ultrafast response of a plasma Bragg mirror";
Talk: CLEO '01,
Baltimore, USA;
2001-05-06
- 2001-05-08; in: "Ultrafast response of a plasma Bragg mirror",
(2001),
ISBN: 155752-676-1;
315.
-
T. Müller, R. Bratschitsch, W. Parz, G. Strasser, K. Unterrainer:
"Coherent and incoherent intersubband dynamics";
Talk: 10th Int. IEEE Conf. on Terahertz Electronics,
Cambridge, UK;
2002-09-09
- 2002-09-10; in: "IEEE Conf. on Terahertz Electronics",
(2002),
41
- 44.
-
T. Müller, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Time-resolved measurement of intersubband population dynamics";
Talk: CLEO 2002,
Long Beach, USA;
2002-05-19
- 2002-05-25; in: "OSA Trends in Optics and Photonics",
Optical Society of America,
Vol. 74. Washington DC
(2002),
262
- 263.
-
T. Müller, M. M. Furchi, A. Pospischil, A. Benz, S. Schwarz, H. Detz, K. Unterrainer:
"Cavity enhanced graphene and intersubband detectors";
Talk: SPIE Optics + Photonics 2013,
San Diego (invited);
2013-08-25
- 2013-08-29; in: "Organic 3D Photonics Materials and Devices II",
SPIE -The International Society for Optical Engineering,
(2013),
Paper ID 8808-24,
1 pages.
-
T. Müller, M. M. Furchi, A. Urich, A. Pospischil, G. Lilley, K. Unterrainer, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"New concepts and geometries for graphene-based photodetectors";
Talk: CLEO 2012,
San Jose, California, USA;
2012-05-06
- 2012-05-11; in: "Technical Digest",
(2012),
ISBN: 978-1-55752-943-5;
Paper ID JTu1M.5,
2 pages.
-
T. Müller, A. Lugstein, A.M. Andrews, M. Steinmair, Y.J. Hyun, E. Bertagnolli, G. Strasser, K. Unterrainer:
"Temperature dependet µPL-imaging and µPL-exitation measurements of single GaAs/A1As nanowhiskers";
Poster: 57. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Donau-Universität Krems, Austria;
2007-09-24
- 2007-09-28; in: "Tagungsprogramm",
(2007),
116
- 117.
-
T. Müller, T. Moldaschl, S. Golka, G. Strasser, K. Unterrainer:
"Acoustic phonon damping of rabi oscillations in In (Ga) As quantum dots";
Talk: CLEO/QELS,
Baltimore, Maryland, USA;
2007-05-06
- 2007-05-11; in: "CLEO/QELS 07 Technical Digest CD-ROM Proceedings",
Optical Society of America, Washington,
(2007),
ISBN: 1-55752-834-9;
2 pages.
-
T. Müller, T. Moldaschl, G. Strasser, K. Unterrainer:
"Ultrafast spectral hole burning spectroscopy of exciton spin relaxation in quantum dots";
Talk: 28th International Conference on the Physics of Semiconductors,
Vienna, Austria;
2006-07-24
- 2006-07-28; in: "Programme and Abstracts",
(2006),
368
- 369.
-
T. Müller, W. Parz, G. Strasser, K. Unterrainer:
"Time-resolved measurements of intersubband population dynamics";
Poster: GMe Forum 2003,
Wien, Austria;
2003-04-10
- 2003-04-11; in: "Proceedings GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
93
- 96.
-
T. Müller, F. Schrey, G. Fasching, J. Darmo, J. Kröll, W. Parz, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Spectroscopy of Semiconductor Nanostructures and Applications";
Talk: International School of Solid State Physics,
Erice, Italy (invited);
2005-07-20
- 2005-07-26; in: "International School of Solid State Physics 35th Workshop: Physics and Technology of THz Photonics",
(2005),
2.
-
T. Müller, F. Schrey, G. Fasching, J. Darmo, J. Kröll, W. Parz, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser, K. Unterrainer:
"Ultrawide band THz spectroscopy applied to semiconductor nanostructures";
Talk: Mid-Infrared Coherent Sources (MICS) 2005,
Barcelona, Spain (invited);
2005-11-06
- 2005-11-11; in: "Book of Abstract",
(2005),
5
- 6.
-
T. Müller, F. Schrey, G. Fasching, L. Rebohle, G. Strasser, K. Unterrainer:
"Carrier dynamics in quantum dots";
Talk: GMe Forum 2005,
Vienna, Austria (invited);
2005-03-17
- 2005-03-18; in: "Proceedings of the Seminar at the Vienna University of Technology",
ISBN: 3-901578-15-3
(2005),
ISBN: 3-901578-15-3;
43
- 46.
-
T. Müller, F. Schrey, C. Pflügl, G. Strasser, K. Unterrainer:
"Ultrafast intraband dynamics in quantum dots";
Talk: CLEO/IQEC 2004,
San Francisco, USA;
2004-05-16
- 2004-05-21; in: "Technical Digest",
(2004),
Paper ID IThJ4,
2 pages.
-
T. Müller, G. Strasser, K. Unterrainer:
"Transient spectral hole burning spectroscopy of exciton spin flip processes in In(Ga)As quantum dots";
Talk: 18th Annual Meeting of the IEEE Lasers & Electro-Optics Society (LEOS 2005),
Sydney, Australia;
2005-10-23
- 2005-10-27; in: "2005 IEEE LEOS Annual Meeting Conference Proceedings",
(2005),
ISBN: 0-7803-9218-3;
37
- 38.
-
T. Müller, G. Strasser, K. Unterrainer:
"Ultrafast spectral hole burning spectroscopy of exciton spin relaxation in InAs/GaAs quantum dots";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
2006-02-13
- 2006-02-17; in: "Book of Abstract",
(2006),
89
- 90.
-
E. Mujagic, M. Austerer, S. Schartner, A. M. Andrews, W. Schrenk, G. Strasser, I. Bayrakli, M. Semtsiv, W. Masselink:
""Impact of doping density in short-wavelength InP-based strain compensated quantum-cascade lasers";
Talk: International Conference on Narrow Gap Semiconductor,
Guildford, United Kingdom;
2007-07-08
- 2007-07-12; in: "The 13th International Conference on Narrow Gap Semiconductors",
(2007),
74.
-
E. Mujagic, M. Austerer, S. Schartner, M. Nobile, W. Schrenk, I. Bayrakli, M. Semtsiv, W. Masselink, G. Strasser:
"Doping density dependent performance of short-wavelength InP-based quantum-cascade lasers";
Poster: International Conference on Intersubband Transitions in Quantum Wells,
Ambleside, United Kingdom;
2007-09-09
- 2007-09-14; in: "Proceedings of The Ninth International Conference on Intersubband Transitions in Quantum Wells",
(2007),
1
- 2.
-
E. Mujagic, C. Deutsch, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, J. Chen, C. Gmachl, K. Unterrainer, G. Strasser:
"Surface Emitting MIR and THz Ring Cavities";
Talk: European Semiconductor Laser Workshop,
Wien;
2009-09-25
- 2009-09-26; in: "Programme and Abstracts",
(2009),
17.
-
E. Mujagic, C. Deutsch, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Ring Cavity Surface Emitting Quantum Cascade Lasers";
Talk: ITQW,
Montreal, Kanada;
2009-09-05
- 2009-09-12; in: "Abstract Book",
(2009),
38
- 39.
-
E. Mujagic, C. Deutsch, H. Detz, M. Nobile, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, K. Unterrainer, G. Strasser:
"Photonic Engineering of Vertically Emitting Intersubband Lasers";
Talk: Nanoforum,
Linz (invited);
2009-07-11
- 2009-07-12; in: "Program",
(2009),
15.
-
E. Mujagic, L. Hoffmann, S. Schartner, M. Nobile, H. Detz, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Beam Shaping in Quantum Cascade Ring Lasers";
Talk: Cleo Iqec 2009,
Baltimore, Maryland, USA;
2009-05-31
- 2009-06-05; in: "Conference Program",
(2009),
167.
-
E. Mujagic, L. Hoffmann, S. Schartner, W. Schrenk, M. Semtsiv, M. Wienold, W. Masselink, G. Strasser:
"Distributed-feedback quantum cascade ring lasers with low divergent, highly symmetric far fields";
Poster: 58. Jahrestagung der Österreichischen Physikalischen Gesellschaft (ÖPG),
Leoben;
2008-09-22
- 2008-09-26; in: "58. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2008),
91.
-
E. Mujagic, S. Schartner, L. Hoffmann, D. Andrijasevic, H. Detz, M. Nobile, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Vertically emitting quantum cascade ring lasers";
Poster: International Quantum Cascade Lasers School & Workshop,
Monte Verita, Ascona, Switzerland;
2008-09-14
- 2008-09-19; in: "Meeting Program / Book of Abstracts / Attendee Poster",
(2008),
133.
-
E. Mujagic, S. Schartner, L. Hoffmann, D. Andrijasevic, M. Nobile, H. Detz, M. Semtsiv, W. Masselink, G. Strasser:
"Grating-Induced Beam-Tuning in Quantum-Cascade Ring Lasers";
Poster: International Conference on the Physics of Semiconductors,
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01; in: "Abstracts",
(2008),
319.
-
E. Mujagic, S. Schartner, L. Hoffmann, M. Austerer, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, G. Strasser:
"Second generation surface emitting distributed-feedback quantum cascade lasers";
Talk: International Conference on Mid-Infrared Optoelectronics: Materials and Devices,
Freiburg, Deutschland;
2008-09-07
- 2008-09-11; in: "9th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices",
(2008),
72.
-
E. Mujagic, S. Schartner, M. Nobile, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, C. Deutsch, K. Unterrainer, M. Semtsiv, W. Masselink, G. Strasser:
"Tailored beams in quantum cascade ring lasers";
Talk: Modulated Semiconductor Structures 14 (MSS-14),
Kobe, Japan;
2009-07-19
- 2009-07-24; in: "Abstracts",
(2009).
-
E. Mujagic, C. Schwarzer, H. Detz, M. Nobile, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, C. Deutsch, K. Unterrainer:
"Ring cavities for surface emitting quantum cascade lasers";
Poster: Informationstagung Mikroelektronik ME10,
Wien;
2010-04-07
- 2010-04-08; in: "Tagungsband zur Informationstagung Mikroelektronik",
(2010),
ISBN: 978-3-85133-055-7;
73
- 77.
-
E. Mujagic, C. Schwarzer, M. Nobile, H. Detz, S. Ahn, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Reduced Threshold and High Temperature Operation in Single-Mode Ring Cavity Surface Emitting Quantum Cascade Lasers";
Talk: CLEO/QELS,
San Jose, USA;
2010-05-16
- 2010-05-21; in: "Cleo/qels : 2010",
(2010).
-
E. Mujagic, C. Schwarzer, Y. Yao, J. Chen, C. Gmachl, G. Strasser:
"Ring cavity surface emitting quantum cascade lasers as a building block for two-dimensional arrays";
Talk: 10th International Conference on Mid-Infrared Optoelectronics: Materials and Devices (MIOMD),
Shanghai;
2010-09-05
- 2010-09-09; in: "Program and Absracts",
(2010),
46.
-
E. Mujagic, M. Semtsiv, M. Austerer, S. Schartner, W. Masselink, G. Strasser:
"Influence of doping density variation in InP-based strain-compensated quantum-cascade lasers";
Talk: 8th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices,
Bad Ischl;
2007-05-14
- 2007-05-16; in: "Programm and Abstracts",
(2007),
24.
-
B. Murdin, W. Heiss, C.R. Pidgeon, E. Gornik, S.C. Lee, I. Galbraith, C. Langerak, H. Hertle, M. Helm:
"Time-resolved studies of intersubband relaxation using the free electron laser";
Talk: Hot Carriers in Semiconductors,
New York;
1996-09-01; in: "Hot Carriers in Semiconductors",
(1996),
36
- 36.
-
B. Murdin, G.M.H. Knippels, C. Langerak, W. Heiss, K. Unterrainer, E. Gornik, H. Hertle, M. Helm, C.R. Pidgeon:
"Determination of the intersubband lifetime in GaAs/AlGaAs and Si/SiGe quantum wells using a Free Electron Laser";
Talk: International Conference of the Physics of Semiconductors,
San Diego;
1995-07-01; in: "Proc. of the 22nd Int. Conf. on the Physics of Semiconductors",
(1995),
1177.
-
T. Nakata, K. Sugano, M. Negri, F. Rossi, G. Salyiati, A. Lugstein, Y. Isono:
"Mems-Based Mechanical Characterization of Core-Shell Silicon Carbide Nanowires for Harsh Environmental Nanomechanical Elements";
Talk: MEMS 2016,
Shanghai, China;
2016-01-24
- 2016-01-28; in: "Ieee Mems 2016",
(2016),
543
- 546.
-
E. Navickas, M. Gerstl, G. Friedbacher, M. Toney, M. Doebeli, O. Bethge, E. Bertagnolli, F. Kubel, J. Fleig:
"In- and Across-Plane Conductivities of YSZ Thin Films and Their Dependence on the Substrate";
Talk: E-MRS Spring Meeting 2012,
Strasbourg;
2012-05-14
- 2012-05-18; in: "Solid State Ionics: Mass and Charge Transport across and along Interfaces of Functional Materials",
(2012).
-
M. Nobile, M. Austerer, S. Schartner, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Improvement of Second Harmonic Generation by modal phase-matching in nonlinear MIR quantum cascade lasers";
Poster: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Palazzone di Cortana, Italy;
2006-06-25
- 2006-06-30; in: "Programme and Abstracts",
(2006).
-
M. Nobile, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Al-free MIR quantum cascade lasers";
Talk: European Semiconductor Laser Workshop,
Wien;
2009-09-25
- 2009-09-26; in: "Programme and Abstracts",
(2009),
12.
-
M. Nobile, H. Detz, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"An Al-free material system for intersubband detectors and emitters";
Talk: ITQW,
Montreal, Canada;
2009-09-06
- 2009-09-11; in: "Abstract book",
(2009),
132
- 133.
-
G. Notermans, H. Ritter, S. Holland, D. Pogany:
"Modeling dynamic overshoot in ESD protections";
Talk: Electrical overstress/Electrostatic discharge (EOS/ESD) Symposium,
Reno;
2018-09-23
- 2018-09-28; in: "Electrical overstress/Electrostatic discharge (EOS/ESD) Symposium",
(2018).
-
S. Özcan, T. Roch, G. Strasser, J. Smoliner, R. Franke, T. Fritz:
"Ballistic Electron Emission Microscopy/Spectroscopy on Au/Titanylphthalocyanine/GaAs Heterostructures";
Poster: GMe Workshop 2006,
Wien;
2006-10-13; in: "GMe Workshop 2006 Proceedings",
K. Riedling (ed.);
(2006),
ISBN: 978-3-901578-17-5;
71
- 75.
More information
-
N Opacak, M Beiser, J. Hillbrand, S. Dal Cin, H. Detz, A. M. Andrews, G. Strasser, B. Schwarz:
"QWIPs and QCDs for RF";
Talk: 68. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Graz;
2018-09-10
- 2018-09-13; in: "68. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2018),
33.
-
N Opacak, S. Dal Cin, J. Hillbrand, G. Strasser, B. Schwarz:
"Frequency combs generated by a Bloch gain induced giant Kerr nonlinearity";
Talk: Photonica 2021,
Belgrad;
2021-08-23
- 2021-08-27; in: "Photonics 2021",
(2021),
126.
-
N Opacak, S. Dal Cin, J. Hillbrand, G. Strasser, B. Schwarz:
"Giant Kerr nonlinearity of intersubband transitions - Origin of self-starting freuquency combs";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
39.
-
N Opacak, S. Dal Cin, J. Hillbrand, G. Strasser, B. Schwarz:
"Origin of the linewidth enhancement factor: Resonant processes behind optical frequency comb formation";
Talk: Online Conference - Photonics West 2021,
California;
2021-03-06
- 2021-03-12; in: "Proceedings SPIE Photonics West",
11705
(2021),
Paper ID 1170511,
1 pages.
More information
-
N Opacak, G. Strasser, B. Schwarz:
"Modelling the intra-cavity dynamics behind phase locking of quantum cascade laser frequency combs";
Talk: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
94
- 95.
-
N Opacak, G. Strasser, B. Schwarz:
"Modelling the spatio-temporal dynamics of quantum cascade laser frequency combs";
Poster: CLEO/Europe-EQEC 2019,
München;
2019-06-23
- 2019-06-27; in: "CLEO/Europe-EQEC 2019",
(2019),
132.
-
N Opacak, G. Strasser, B. Schwarz:
"Theoretical study of the intra-cavity dynamics behind phase locking of quantum cascade laser frequency combs";
Talk: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
2019-08-26
- 2019-08-30; in: "Gemeinsame Jahrestagung in Zürich ÖPS, SPS",
(2019),
44.
-
N Opacak, G. Strasser, B. Schwarz:
"Theory of frequency modulated combs in semiconductor lasers";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
114
- 115.
-
C. Ostermaier, S. Ahn, K. Potzger, M. Helm, S. Kalchmair, D. Pogany, J. Lee, S. Hahm, J. Lee:
"Realization of Inversion-type GaN MOSFETs with Ar Implantation for Device Isolation";
Talk: Junior Scientist Conference 2008,
Technische Universität Wien;
2008-11-16
- 2008-11-18; in: "Proceedings Junior Scientist Conference 2008",
(2008),
ISBN: 978-3-200-01612-5;
197
- 198.
-
C. Ostermaier, S. Ahn, K. Potzger, M. Helm, J. Kuzmik, D. Pogany, G. Strasser, J. Lee, S. Hahm, J. Lee:
"Studyo f Si implantationin to Mg-dopedG aNf or MOSFETs";
Poster: Conference of Nitride Semiconductors (ICNS),
Jeju, Korea;
2009-10-18
- 2009-10-23; in: "ICNS 8",
(2009),
1245
- 1246.
-
C. Ostermaier, J. Kuzmik, J. Carlin, G. Pozzovivo, B. Basnar, W. Schrenk, K. Cico, K. Fröhlich, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany:
"High Performance normally-on and normally-off n++ GaN/InAlN/GaN HEMTs";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Malaga, Spain;
2009-05-17
- 2009-05-20; in: "Programm and Book of Abstracts",
(2009),
56
- 59.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, K. Cico, K. Fröhlich, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"Thermally Stable InAIN/GaN Enhancement-Mode HEMTs with highly doped GaN Cap";
Talk: Conference of Nitride Semiconductors (ICNS),
Jeju, Korea;
2009-10-18
- 2009-10-23; in: "ICNS-8",
(2009),
1052
- 1053.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, Y. Douvry, C. Gaquière, J. De Jaeger, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany, E. Gornik, J. Kuzmik:
"A Novel Concept of High Performance FETs for Harsh Environment";
Poster: Informationstagung Mikroelektronik ME10,
Wien;
2010-04-07
- 2010-04-08; in: "Tagungsband zur Informationstagung Mikroelektronik 10",
(2010),
ISBN: 978-3-85133-055-7;
41
- 44.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, Y. Douvry, C. Gaquière, J. De Jaeger, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany, E. Gornik, J. Kuzmik:
"Ultrathin 2 nm Barrier HEMT for state-of-the-art fT.LG product of 16.9 GHz.µm";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "16th International Winterschool Mauterndorf",
(2010),
257
- 258.
-
G. Otto, G. Hobler:
"Coupled kinetic Monte Carlo and molecular dynamics simulations of implant damage accumulation in silicon";
Poster: Materials Research Society Fall Meeting (MRS),
Boston/MA, USA;
2003-12-01
- 2003-12-05; in: "Proceedings of MRS Fall Meeting 2003",
(2004),
1
- 6.
-
C. Pacher, M. Kast, M. Coquelin, G. Fasching, G. Strasser, E. Gornik:
"Ballistic Electron Spectroscopy of Quantum Mechanical Anti-reflection Coatings for GaAs/AlGaAs Superlattics";
Poster: GMe Forum 2001,
Wien;
2001-04-05
- 2001-04-06; in: "Ballistic Electron Spectroscopy of Quantum Mechanical Anti-reflection Coatings for GaAs/AlGaAs Super",
(2001),
ISBN: 3-901578-07-2;
137.
-
C. Pacher, G. Strasser, C. Rauch, E. Gornik, F. Elsholz, A. Wacker:
"Optics with Ballistic Electrons: Anti-Reflection Coatings for GaAs-AlGaAs Superlattices";
Talk: International Conference on Physics of Semiconductor (ICPS),
Osaka;
2000-09-18
- 2000-09-22; in: "Proc. 25th Int. Conf. Phys. Semicond.",
Springer,
87
(2001),
743.
-
A. Papp, C. Wiesmeyr, M. Litzenberger, H. Garn, W. Kropatsch:
"A real-time algorithm for train position monitoring using optical time-domain reflectometry";
Talk: 2016 IEEE International Conference on Intelligent Rail Transportation,
Birmingham, UK (invited);
2016-08-23
- 2016-08-25; in: "IEEE International Conference on Intelligent Rail Transportation (ICIRT)",
IEEE,
(2016),
ISBN: 978-1-5090-1556-6;
89
- 93.
More information
-
W. Parz, D. Dietze, C. Deutsch, A. Benz, J. Darmo, T. Müller, G. Fasching, A. M. Andrews, G. Strasser, K. Unterrainer:
"Phase-resolved time domain studies of quantum cascade lasers";
Talk: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien (invited);
2010-08-30
- 2010-09-03; in: "Book of Abstracts",
(2010),
1 pages.
-
W. Parz, R. Haddad, T. Müller, G. Strasser, K. Unterrainer:
"Femto-second Spectroscopy: Measuring the Beat of a Quantum Cascade Laser";
Talk: 60th Annual Meeting Austrian Physical Society,
Salzburg (invited);
2010-09-06
- 2010-09-10; in: "Book of Abstracts",
(2010),
25.
-
W. Parz, T. Müller, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Precise determination of optical material parameters in an active quantum cascade laser";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
2008-02-18
- 2008-02-22; in: "Book of Abstracts",
(2008),
163.
-
W. Parz, T. Müller, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, J.S. Roberts, A.B. Krysa:
"Time resolved spectroscopy of dynamics in mid infrared quantum cascade lasers below";
Talk: CLEO/Europe - IQEC 2007,
Munich, Germany;
2007-06-17
- 2007-06-22; in: "Conference Digest",
(2007),
ISBN: 1-4244-0931-4;
1 pages.
-
W. Parz, T. Müller, J. Darmo, M. Austerer, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Exploration of the Complex Refractive Index and its Derivatives in a Mid-Infrared Quantum Cascade Laser by Ultrafast Spectroscopy";
Talk: International Quantum Cascade Lasers School and Workshop,
Monte Verita (Ascona), Schweiz (invited);
2008-09-14
- 2008-09-19; in: "Book of Abstracts",
(2008),
29
- 30.
-
W. Parz, T. Müller, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Femto second pulse propagation in mid- infrared quantum cascade lasers";
Poster: Informationstagung Mikroelektronik ME10,
Wien, Österreich;
2010-04-07
- 2010-04-08; in: "Tagungsband",
OVE Österreichischer Veband für Elektrotechnik,
56
(2010),
ISBN: 978-3-85133-055-7;
87
- 90.
-
W. Parz, O. Pfäffli, J. Darmo, M. Austerer, G. Strasser, A. Gaal, K. Reimann, M. Woerner, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Probing of statical and dynamical intersubband gain properties in quantum cascade lasers";
Talk: Nanostructures Key Technologies of the 21st Century...,
Johannes Kepler Universität Linz, Linz;
2009-06-11
- 2009-06-12; in: "Book of Abstracts",
(2009),
26.
-
W. Parz, O. Pfäffli, J. Darmo, M. Austerer, G. Strasser, K. Unterrainer:
"statical and dynamical properties of intersubband-gain in mid-infrared quantum cascade lasers";
Talk: 16th International Conference on Electron Dynamics In Semiconductors, Optoelectronics and Nanostructures,
Montpellier, Le Corum;
2009-08-24
- 2009-08-28; in: "Book of Abstracts",
(2009),
280.
-
W. Parz, O. Pfäffli, T. Müller, J. Darmo, M. Austerer, G. Strasser, A. Gaal, K. Reimann, M. Woerner, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Intersubband gain induced dispersion and relaxation dynamics in quantum cascade lasers bekow and threshold";
Talk: The 10th International Conference on Intersubband Transitions in Quantum Wells,
Montreal, Cananda;
2009-09-06
- 2009-09-11; in: "Book of Abstracts",
(2009),
66.
-
W. Parz, O. Pfäffli, T. Müller, J. Darmo, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Analysis of sub-picosecond mid-infrared pulse propagation in a quantum cascade laser below and above Ereshold";
Talk: CLEO/Europe-EQEC 2009,
München, Deutschland;
2009-06-14
- 2009-06-19; in: "Book of Abstracts",
(2009),
ISBN: 978-1-4244-4080-1;
Paper ID CB11.4.
-
M. Paur, L. Dobusch, H. Detz, A. M. Andrews, G. Strasser, T. Müller:
"Microdisk resonators coupled with atomically thin semiconductors";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
2016-02-21
- 2016-02-26; in: "Technical Digest",
(2016),
Paper ID P 3.2.10,
2 pages.
-
J. R. Peham, H. Steiner, W. Grienauer, R. Heer, M. Vellekoop, C. Nöhammer, H. Wiesinger:
"Microfluidic PCR Device for Diagnostic Pathogen Detection";
Poster: Lab-on-a-Chip European Congress and Molecular Diagnostics Europe,
Stockholm, Schweden;
2009-05-19
- 2009-05-20; in: "Web Proceedings - AMT Advances in Microarray Technology",
(2009),
1 pages.
-
R. Penjweini, F. Mohajer, A. Geranmayeh, K. Kratky, S. Saghafi:
"Characterizing the Effects of Combinations of Visible-Infrared Laser Beam and Blue/Red-Led-Arrays on Albican Candida and Pityriasis Versicolor Distruction";
Talk: 60th Annual Meeting Austrian Physical Society,
Salzburg;
2010-09-06
- 2010-09-10; in: "60th Annual Meeting Austrian Physical Society",
(2010),
126
- 127.
-
C. Pflügl, S. Anders, W. Schrenk, P Schwaha, G. Strasser:
"Electrically pumped GaAs-based quantum cascade microcavities";
Talk: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
2003-07-28
- 2003-08-01; in: "Program and Abstracs",
(2003),
1150.
-
C. Pflügl, S. Golka, M. Austerer, W. Schrenk, A. M. Andrews, G. Strasser:
"Surface Emitting Quantum Cascade Lasers";
Talk: International Conference on Infrared and Millimeter Waves,
Karlsruhe, Deutschland (invited);
2004-09-27
- 2004-10-01; in: "Conference Digest of the IRMMW 2004",
(2004),
157
- 158.
-
C. Pflügl, S. Golka, M. Austerer, W. Schrenk, G. Strasser:
"High power surface emitting quantum cascade lasers";
Talk: Int. Conf. on Superlattices, Nanostructures and Nanodevices (ICSNN),
Cancun, Mexico;
2004-07-19
- 2004-07-23; in: "Programm and Abstracts",
(2004),
33
- 34.
-
C. Pflügl, S. Golka, M. Austerer, W. Schrenk, G. Strasser:
"Single mode quantum cascade lasers";
Talk: Conf. on Lasers and Electro-Optics Europe,
München, Deutschland;
2005-06-12
- 2005-06-17; in: "Technical Digest CD-ROM",
(2005),
ISBN: 0-7803-8974-3.
-
C. Pflügl, M. Litzenberger, W. Schrenk, D. Pogany, E. Gornik, G. Strasser:
"Thermal characteristics of GaAs-based quantum cascade lasers";
Talk: CLEO 2003,
Baltimore, USA;
2003-06-01
- 2003-06-06; in: "Technical Digest ",
(2003),
ISBN: 1-55752-733-4;
6
- 7.
-
C. Pflügl, T. Müller, W. Schrenk, T. Roch, M. Kast, F. Schrey, K. Unterrainer, G. Strasser:
"Intraband dynamics and transitions in quantum dot structures";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Smolenice Castle, Slovakia;
2004-05-17
- 2004-05-19; in: "Proceeding of the WOCSDICE 2004",
(2004),
59
- 61.
-
M. Pfost, D. Costachescu, A. Podgaynaya, M. Stecher, S. Bychikhin, D. Pogany, E. Gornik:
"Small embedded sensors for accurate temperature measurements in DMOS power transistors";
Talk: International Conference on Microelectronic Test Structures (ICMTS 2010),
Hiroshima;
2010-03-22
- 2010-03-25; in: "Proc. ICMTS 2010",
(2010),
2
- 6.
-
M. Piccardo, P. Chevalier, B. Schwarz, D. Kazakov, Y. Wang, A. Belyanin, F. Capasso:
"Self-locked Adiabatic Lasers Solve a Global Optimization Problem";
Talk: CLEO USA 2019,
San Jose, California;
2019-05-05
- 2019-05-10; in: "Proceedings of Conference on Lasers and Electro-Optics",
(2019),
ISBN: 978-1-943580-57-6;
Paper ID FM1D.3,
1 pages.
More information
-
M. Piccardo, P. Chevalier, B. Schwarz, D. Kazakov, Y. Wang, A. Belyanin, F. Capasso:
"Self-locked quantum cascade lasers solve a global";
Talk: Photonics West 2019,
San Francisco (invited);
2019-02-02
- 2019-02-07; in: "Proceedings of SPIE",
(2019),
Paper ID 10939-41,
1 pages.
-
M. Piccardo, P. Chevalier, B. Schwarz, Y. Wang, D. Kazakov, N. Rubin, S. Anand, E. Mejia, M. Tamagnone, F. Xie, K. Lascola, A. Belyanin, F. Capasso:
"Shaping harmonic frequency combs in quantum cascade lasers";
Talk: CLEO 2018,
San Jose, USA;
2018-05-13
- 2018-05-18; in: "Proceedings: Conference on Lasers and Electro- Optics",
(2018),
ISBN: 978-1-943580-42-2;
Paper ID FW3E.6,
1 pages.
-
M. Piccardo, D. Kazakov, S. Jha, M Beiser, B. Schwarz, F. Capasso:
"Synchronization of coupled monolithic ring laser frequency combs";
Talk: SPIE Photonics West 2020,
San Francisco;
2020-02-01
- 2020-02-06; in: "SPIE Photonics West Conference 11274",
(2020),
Paper ID 11274-34,
1 pages.
-
M. Piccardo, D. Kazakov, B. Schwarz, P. Chevalier, A. Amirzhan, Y. Wang, F. Xie, K. Lascola, S. Becker, L. Hildebrandt, R. Weih, A. Belyanin, F. Capasso:
"Light and Microwaves in Laser Frequency Combs: An Interplay of Spatio-Temporal Phenomena";
Talk: CLEO USA 2019,
San Jose, California;
2019-05-05
- 2019-05-10; in: "Proceedings of Conference on Lasers and Electro-Optics",
(2019),
ISBN: 978-1-943580-57-6;
Paper ID STh4H.3,
1 pages.
More information
-
M. Piccardo, B. Schwarz, M Beiser, D. Kazakov, Y. Wang, M. Tamagnone, T. Wei, A. Zhu, A. Belyanin, F. Capasso:
"Frequency-comb generation in ring-injection lasers by defect engineering";
Talk: SPIE Photonics West 2020,
San Francisco (invited);
2020-02-01
- 2020-02-06; in: "SPIE Photonics West Conference 11301",
(2020),
Paper ID 11301-40,
1 pages.
More information
-
M. Piccardo, M. Tamagnone, B. Schwarz, P. Chevalier, N. Rubin, Y. Wang, C. Wang, M. Connors, D. McNulty, F. Capasso:
"Laser radio transmitter";
Talk: Photonics West 2019,
San Francisco;
2019-02-02
- 2019-02-07; in: "Proceedings of SPIE",
(2019),
Paper ID 10917-10,
1 pages.
-
E. Pichonat, J. Kuzmik, S. Bychikhin, D. Pogany, M. Poisson, B. Grimbert, C. Gaquière:
"Temperature analysis of AlGaN/GaN High-Electron- Mobility Transistors using micro-Raman scattering spectroscopy and Transient Interferometric Mapping";
Poster: European Microwave Integrated Circuits Conference,
Manchester, UK;
2006-09-10
- 2006-09-13; in: "Proceedings of the first European Microwave Integrated Circuits Conference",
(2006),
54
- 57.
-
C.R. Pidgeon, B. Murdin, C. Langerak, C.M. Ciesla, M. Kamal-Saadi, R.A. Stradling, E. Gornik:
"Suppression of LO phonon Emission in Zero Dimensional States";
Talk: International Conference on Physics of Semiconductor (ICPS),
Jerusalem;
1998-08-03
- 1998-08-09; in: "Proc. ICPS 24",
(1998),
20.
-
F. Pilat, L. Lux, P. Souza, A. Schwaighofer, B. Schwarz, H. Detz, A. M. Andrews, B. Lendl, G. Strasser, B. Hinkov:
"Quantum Cascade Lab-on-a-Chip for Protein Sensing";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
45.
-
F. Pilat, N Opacak, D. Kazakov, S. Dal Cin, F. Capasso, G. Strasser, B. Schwarz:
"The linewidth enhancement factor of a semiconductor frequency comb: a spectrally-resolved measurement technique";
Talk: SPIE Photonics Europe Conferences,
Straßburg;
2022-04-03
- 2022-04-07; in: "Nonlinear Optics and its Applications 2022",
(2022),
Paper ID 12141-18,
1 pages.
-
F. Pilat, N Opacak, D. Kazakov, S. Dal Cin, G. Ramer, B. Lendl, F. Capasso, B. Schwarz:
"Measuring the Linewidth Enhancement Factor of a Laser Frequency Comb";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
39.
-
F. Pilat, N Opacak, D. Kazakov, S. Dal Cin, G. Ramer, B. Lendl, F. Capasso, G. Strasser, B. Schwarz:
"Measuring the Spectrally-Resolved Linewidth Enhancement Factor";
Talk: Photonica 2021,
Belgrad;
2021-08-23
- 2021-08-27; in: "Photonics 2021",
(2021),
120.
-
F. Pilat, N Opacak, D. Kazakov, S. Dal Cin, G. Ramer, B. Lendl, F. Capasso, G. Strasser, B. Schwarz:
"Spectrally-Resolved Measurement of the Linewidth Enhancement Factor";
Talk: 15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD),
virtuell;
2021-09-01
- 2021-09-03; in: "15th International Conference on Mid-Infrared: Optoelectronic Materials and Devices (MIOMD)",
(2021),
Paper ID O10-4.
-
F. Pilat, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, G. Strasser, B. Hinkov:
"QCLD-based lab-on-a-chip for μ-fluidic sensing";
Talk: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
120
- 121.
-
F. Pilat, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, G. Strasser, B. Hinkov:
"Quantum Cascade Lab-on-a-Chip for Fluid Sensing Applications";
Poster: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
117.
-
F. Pilat, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, G. Strasser, B. Hinkov:
"µ-fluidic sensing with a quantum cascade lab-on-a-chip";
Talk: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
2019-08-26
- 2019-08-30; in: "Gemeinsame Jahrestagung in Zürich ÖPS, SPS",
(2019),
43.
-
G. Pillwein, T. Berer, G. Brunthaler, F. Schäffler, G. Strasser:
"Lateral Quantum Dots in High Mobility Heterostructures";
Poster: GMe Forum 2005,
Wien, Österreich;
2005-03-17
- 2005-03-18; in: "Proceedings GMe Forum 2005",
(2005),
ISBN: 3-901578-15-3;
133
- 136.
-
G. Ploner, J. Smoliner, G. Strasser, E. Gornik:
"Magnetophonon analysis of quantum wire systems";
Talk: International Conference on Physics of Semiconductor (ICPS),
Berlin;
1996-07-21; in: "Proc ICPS23",
World Scientific,
2
(1996),
1245.
-
A. Podgaynaya, D. Pogany, E. Gornik, M. Stecher:
"Investigation and improvement of the electrical Safe Operating Area of DMOS transistor during ESD Events";
Talk: International Reliability Physics Symposium (IRPS),
Montreal, Canada;
2009-04-26
- 2009-04-30; in: "IEEE CFP09RPS-CDR 47th Annual International Reliability Physics Symposium",
(2009),
437
- 442.
-
D. Pogany:
"Local Thermal and Current Imaging in Power Devices";
Poster: GMe Forum 2003,
Wien, Österreich;
2003-04-10
- 2003-04-11; in: "Proceeding GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
51
- 57.
-
D. Pogany, S. Bychikhin, V. Dubec, M. Blaho, M. Litzenberger, J. Kuzmik, C. Pflügl, G. Strasser, E. Gornik:
"Transient interferometric mapping of temperature and free carriers in semiconductor devices";
Talk: Lasers and Electro-Optics for Semiconductor Testing (IEEE-LEOS),
Tucson, Arizona, USA (invited);
2003-10-26
- 2003-10-30; in: "2003 IEEE LEOS Annual Meeting Conference Proceedings",
(2003),
666
- 667.
-
D. Pogany, S. Bychikhin, E. Gornik, M. Denison, N. Jensen, G. Groos, M. Stecher:
"Moving current Filaments in ESD Protection devices and their Relation to Electrical Characteristics";
Talk: International Reliability Physics Symposium (IRPS),
Dallas, USA;
2003-03-30
- 2003-04-03; in: "Proceedings of IRPS 03",
(2003),
ISBN: 0780376498;
241
- 248.
-
D. Pogany, S. Bychikhin, J. Kuzmik, V. Dubec, N. Jensen, M. Denison, G. Groos, M. Stecher, E. Gornik:
"Investigation of thermal distribution during destructive pulses in ESD protection devices using a single-shot, two-dimensional interferometric method";
Talk: IEEE International Electron Devices Meeting (IEDM),
San Francisco;
2002-12-09
- 2002-12-11; in: "IEDM 2002 Technical Digest",
(2002),
345
- 348.
-
D. Pogany, S. Bychikhin, J. Kuzmik, E. Gornik, M. Denison, N. Jensen, M. Stecher, P. Rodin, G. Groos:
"Observation of travelling current filaments in semiconductor devices using transient interferometric mapping";
Talk: Dynamics Days Europe,
Berlin, Deutschland;
2005-07-25
- 2005-07-28; in: "Book of Abstracts Europhysics Conference Series",
(2005),
ISBN: 2-914771-26-6;
55
- 56.
-
D. Pogany, S. Bychikhin, W. Mamanee, E. Gornik, D. Johnsson, K. Esmark, H. Gossner, M. Stecher, P. Rodin:
"Interacting traveling current filaments and spreading fronts in sandwiched semiconductor nanostructures";
Talk: 59. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Innsbruck;
2009-09-02
- 2009-09-04; in: "Bulletin SPG/SSP",
(2009),
87.
-
D. Pogany, C. Fürböck, M. Litzenberger, G. Groos, K. Esmark, P. Kamvar, H. Gossner, M. Stecher, E. Gornik:
"Study of trigger instabilities in smart power technology ESD protection devices using a laser interferometric thermal mapping technique";
Talk: EOS/ESD Symposium 2001,
Portland, USA;
2001-09-09
- 2001-09-13; in: "Study of trigger instabilities in smart power technology ESD protection devices using a laser interf",
(2001),
216
- 227.
-
D. Pogany, C. Fürböck, N. Seliger, P. Habas, E. Gornik, S. Kubicek, S. Decoutere:
"Optical testing of submicron-technology MOSFET`s and bipolar transistors";
Talk: European Solid-State Device Research Conference (ESSDERC),
Stuttgart;
1997-09-01; in: "Proc. ESSDERC ´97",
(1997),
372
- 375.
-
D. Pogany, E. Gornik, K. Esmark, H. Gossner:
"RTS noise due to electrostatic discharge (ESD) stress - induced localized damage in the channel of grounded-gate MOS ESD protection devices";
Talk: International Conference on Noise in Physical Systems and 1/f Fluctuations (ICNF 2001),
Gainsville, USA;
2001-11-22
- 2001-11-25; in: "RTS noise due to electrostatic discharge (ESD) stress - induced localized damage in the channel of g",
(2001),
385
- 390.
-
D. Pogany, D. Johnsson, S. Bychikhin, K. Esmark, P. Rodin, E. Gornik, M. Stecher, H. Gossner:
"Nonlinear dynamics approach in modeling of the on-state-spreading - related voltage and current transients in 90nm CMOS silicon controlled rectifiers";
Talk: IEEE International Electron Devices Meeting (IEDM),
Baltimore, USA;
2009-12-07
- 2009-12-09; in: "IEDM 09",
(2009),
509
- 512.
-
D. Pogany, J. Kuzmik, S. Bychikhin, E. Pichonat, C. Gaquière, K. Andersson, C. Fager, J.-P. Teyssier, J. Würfl, M. di Forte Poisson:
"Thermal Characterization of HF power FETs";
Talk: Target Days (TARGET),
Frascati, Italy;
2006-10-16
- 2006-10-18; in: "Target Days 2006, Book of Proceedings",
(2006),
31
- 34.
-
D. Pogany, T. Lalinsky, N. Seliger, J. Kuzmik, P. Habas, P. Hrkut, E. Gornik:
"Power sensor microsystems characterization using a contactless optical laser method";
Talk: International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM),
Boston;
1996-07-01; in: "Proc. of ASDAM ´96",
(1996),
201
- 204.
-
D. Pogany, M. Litzenberger, S. Bychikhin, E. Gornik, G. Groos, M. Stecher:
"A method for extraction of power dissipating sources from interferometric thermal mapping measurements";
Poster: European Solid-State Device Research Conference (ESSDERC),
Florence, Italy;
2002-09-24
- 2002-09-26; in: "Proc. 32nd European Solid State Device Research Conference",
D. Pogany, M. Litzenberger, S. Bychikhin, E. Gornik, G. Groos, M. Stecher (ed.);
(2002),
243
- 246.
-
D. Pogany, C. Zeiner, S. Bychikhin, T. Burchhart, A. Lugstein, L.K.J. Vandamme:
"RTS and 1/f noise in Ge nanowire transistors";
Talk: International Conference on Noise and Fluctuations (ICNF),
Toronto, Ontario, Canada;
2011-06-12
- 2011-06-16; in: "Proc. Int. Conf. on Noise and Fluctuations",
(2011),
372
- 375.
-
D.K Polyushkin, S. Wachter, O. Bethge, T. Müller:
"CVD growth of atomically thin MoS2 films for digital electronics";
Poster: Austrian MBE Workshop 2017,
Wien;
2017-09-28
- 2017-09-29; in: "Austrian MBE Workshop",
(2017),
52.
-
G. Pozzovivo, J. Kuzmik, S. Golka, K. Cico, K. Fröhlich, J. Carlin, M. Gonschorek, N. Grandjean, W. Schrenk, G. Strasser, D. Pogany:
"Influence of GaN capping on performance of InAlN/AlN/GaN MOS-HEMTs with Al2O3 gate insulation prepared by CVD";
Poster: Conference of Nitride Semiconductors (ICNS),
Las Vegas, USA;
2007-09-16
- 2007-09-21; in: "Proceeding of The 7th Int´l Conference of Nitride Semiconductors (ICNS-7)",
(2007),
48.
-
G. Pozzovivo, J. Kuzmik, J. Liday, C. Giesen, M. Heuken, G. Strasser, D. Pogany:
"Low resistance ohmic contacts annealed at 600 C on InAlN/GaN heterostructure with SiCl4 reactive ion etching treatment";
Poster: Conference of Nitride Semiconductors (ICNS),
Montreux, Switzerland;
2008-10-06
- 2008-10-10; in: "Abstracts",
(2008),
572
- 573.
-
G. Pozzovivo, J. Kuzmik, W. Schrenk, J. Carlin, M. Gonschorek, N. Grandjean, M. di Forte Poisson, S. Delage, G. Strasser, D. Pogany:
"Optimization of the plasma etching in fabrication of InAlN/AlN/GaN HEMTs";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Venice, Italy;
2007-05-20
- 2007-05-23; in: "Proceedings of the 31st Workshop on Compound Semiconductor Devices and Inetrated Circuits",
(2007),
245
- 247.
-
Verena Pramhaas, Matteo Roiaz, O. Bethge, Ch. Rameshan, G. Rupprechter:
"Platinum thin film model catalysts investigated under reaction conditions using sum frequency generation (SFG) spectroscopy";
Poster: The 16th International Congress on Catalysis,
Beijing;
2016-07-03
- 2016-07-08; in: "The 16th International Congress on Catalysis - Poster Presentations",
(2016),
26.
-
Verena Pramhaas, Matteo Roiaz, Ch. Rameshan, G. Rupprechter, O. Bethge:
"Investigation of ALD prepared model catalysts by SFG spectroscopy";
Talk: 16. Österreichische Chemietage,
Innsbruck;
2015-09-21
- 2015-09-24; in: "16. Österreichische Chemietage",
Book-of-Abstracts.com,
Gumpoldskirchen
(2015),
1 pages.
-
L. Prochaska, D. MacFarland, A. M. Andrews, M. Bonta, H. Detz, W. Schrenk, E. Bianco, G. Strasser, A. Limbeck, E Ringe, S. Paschen:
"Epitaxial YbRh2Si2 films grown by molecular beam epitaxy";
Talk: Austrian MBE Workshop 2017,
Wien;
2017-09-28
- 2017-09-29; in: "Austrian MBE Workshop",
(2017),
18.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Ballistic Electron Emission Spectroscopy on Biased GaAs-AlGaAs Superlattics in Transverse Magnetic Fields";
Poster: GMe Forum 2001,
Wien;
2001-04-05
- 2001-04-06; in: "Ballistic Electron Emission Spectroscopy on Biased GaAs-AlGaAs Superlattices in Transverse Magnetic",
(2001),
ISBN: 3-901578-07-2;
143.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Band offsets of InAs Self-assembled Dots on GaAs studied by Ballistic Electron Emission Microscopy";
Poster: STM Conference,
Vancouver;
2001-07-15
- 2001-07-20; in: "Band offsets of InAs Self-assembled Dots on GaAs studied by Ballistic Electron Emission Microscopy",
(2001),
227.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Cross-Sectional Ballistic Electron Emission Microscopy for Schottky Barrier Height Profiling on Heterostructures";
Poster: GMe Forum 2005,
Wien , Österreich;
2005-03-17
- 2005-03-18; in: "Proceedings GME Forum 2005",
(2005),
ISBN: 3-901578-15-3;
151
- 156.
-
C. Rauch, M. Kast, G. Strasser, K. Unterrainer, A. Wacker, S. Bose, E. Gornik:
"Coherence length of ballistically injected hot electrons in GaAs/AlGaAs superlattices";
Talk: International Conference of the Physics of Semiconductors,
Jerusalem;
1998-08-03; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
1.
-
C. Rauch, G. Strasser, E. Gornik:
"Onset of Scattering Induced Miniband Transport";
Talk: Aktuelle Entwicklungen der Mikroelektronik,
Bad Hofgastein;
1999-03-03
- 1999-03-06; in: "Current Developments of microelectronics",
(1999),
ISBN: 3-901578-04-8;
185.
-
C. Rauch, G. Strasser, E. Gornik:
"Transition between coherent to incoherent superlattices";
Talk: IEEE Conference,
Boston;
1998-07-01; in: "Proc. IEEE",
(1998),
267.
-
S. Reggiani, E. Gnani, M. Rudan, G. Baccarani, S. Bychikhin, J. Kuzmik, D. Pogany, E. Gornik, M. Denison, N. Jensen, G. Groos, M. Stecher:
"Experimental investigation on carrier dynamics at the thermal breakdown";
Poster: International Conference on Physics of Semiconductor (ICPS),
Wien;
2006-07-24
- 2006-07-28; in: "Book of Abstracts",
(2006),
366
- 367.
-
S. Reggiani, E. Gnani, M. Rudan, G. Baccarani, S. Bychikhin, J. Kuzmik, D. Pogany, E. Gornik, M. Denison, N. Jensen, G. Groos, M. Stecher:
"Predictive device simulation for ESD protection structures validated with transient interferometric thermal-mapping experiments";
Talk: European Solid-State Device Research Conference (ESSDERC),
Grenoble, France;
2005-09-12
- 2005-09-16; in: "Predictive device simulation for ESD protection structures validated with transient interferometric thermal-mapping experiments",
(2005),
411
- 414.
-
M. Reiner, P. Lagger, G. Prechtl, P. Steinschifter, R. Pietschnig, D. Pogany, C. Ostermaier:
"Modification of "Native" Surface Donor States in AlGaN/GaN MIS-HEMTs by Fluorination: Perspective for Defect Engineering";
Talk: IEEE International Electron Devices Meeting (IEDM),
Washington, USA;
2015-12-07
- 2015-12-09; in: "Technical Digest of International Electron Device Meeting",
(2015),
1
- 4.
-
P. Reininger, B. Schwarz, A. Harrer, T. Zederbauer, H. Detz, A. M. Andrews, R. Gansch, W. Schrenk, G. Strasser:
"2.5 D photonic crystal quantum cascade detector";
Poster: CLEO 2014,
San Jose;
2014-06-08
- 2014-06-13; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
2 pages.
-
P. Reininger, B. Schwarz, A. Harrer, T. Zederbauer, H. Detz, A. M. Andrews, R. Gansch, W. Schrenk, G. Strasser:
"Photonic crystal quantum cascade detector";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2014-02-23
- 2014-02-28; in: "New Developments in Solid State Physics",
(2014),
92
- 93.
-
P. Reininger, T. Zederbauer, B. Schwarz, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser:
"A low effective mass materialsystem for quantum cascade detectors";
Talk: Photonics West,
San Francisco, USA (invited);
2016-02-13
- 2016-02-18; in: "digital digest",
(2016),
Paper ID 9755-37,
1 pages.
-
P. Reininger, T. Zederbauer, B. Schwarz, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser:
"InAs/AlAsSb for quantum cascade detectors";
Talk: ITQW,
Wien;
2015-09-06
- 2015-09-11; in: "ITQW 2015",
(2015),
86
- 87.
-
J. Rhayem, B. Besbes, R. Blecic, S. Bychikhin, G. Haberfehlner, D. Pogany, B. Desoete, R. Gillon, A. Wieers, M. Tack:
""Electro-thermal characterization and simulation of integrated multi trenched XtreMOS power devices";
Talk: Workshop on Thermal Investigations of ICs and Systems (THERMINIC),
Barcelona, Spanien;
2010-10-06
- 2010-10-08; in: "Proc. THERMINIC 2010",
(2010),
140
- 143.
-
J. Rhayem, A. Vrbicky, R. Blebic, P. Malena, D. Pogany, S. Bychikhin, A. Wieers, A. Baric:
"New methodology on electro-thermal characterization and modeling of large power drivers using lateral PNP BJTs";
Talk: Eurosime 2010,
Bordeaux, France;
2010-04-01
- 2010-04-04; in: "Proc. Eurosime 2010",
(2010),
1
- 4.
-
D. Ristanic, B. Schwarz, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, T. Zederbauer, D. MacFarland, G. Strasser:
"Monolithical Absorption Sensor Based on Bi-functional Quantum Cascade Structures";
Talk: CLEO 2015,
San Jose, USA;
2015-05-10
- 2015-05-15; in: "Abstracts in Laser Science to Photonic Applications",
OSA Publishing,
(2015),
ISBN: 978-1-55752-968-8.
-
D. Ristanic, B. Schwarz, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, T. Zederbauer, D. MacFarland, G. Strasser:
"Quantum Cascade Lasers and Detectors: Monolithically integrated Nanosensors";
Talk: Nano and Photonics,
Mauterndorf;
2015-03-19
- 2015-03-21; in: "Book of Abstracts",
(2015).
-
T. Roch, A. M. Andrews, G. Fasching, A. Benz, W. Schrenk, M. Schramböck, K. Unterrainer, G. Strasser:
"Growth of optoelectronic nanostructures";
Talk: European Workshop on Heterostructure Technology,
Smolenice Castle, Slovakia;
2005-10-02
- 2005-10-05; in: "Book of Abstracts",
(2005).
-
P. Rodin, D. Pogany:
"Theory of travelling filaments in bistable semiconductors";
Talk: Dynamics Days Europe,
Berlin, Deutschland;
2005-07-25
- 2005-07-28; in: "Book of Abstracts",
(2005),
ISBN: 2-914771-26-6;
33
- 34.
-
M. Rudan, S. Reggiani, E. Gnani, G. Baccarani, C. Corvasce, M. Ciappa, M. Stecher, D. Pogany, E. Gornik:
"Physical Models for Smart-Power Devices";
Talk: International Conference on Mixed Design of Integrated Circuits and Systems (MIXDES),
Gdynia, Poland (invited);
2006-06-22
- 2006-06-24; in: "Proceedings of the International Conference on Mixed Design of Integrated Circuits and Systems",
(2006),
28
- 33.
-
S. Saghafi, K. Becker, N. Jährling, H. Dodt:
"Image improvement by a new light sheet generation system in Ultramicroscopy";
Talk: EOS Annual Meeting 2010 (EOSAM 2010),
Paris, Frankreich;
2010-10-26
- 2010-10-29; in: "EOS Annual Meeting 2010 (EOSAM 2010)",
(2010),
ISBN: 978-3-00-030509-2;
1
- 2.
-
S. Saghafi, K. Becker, N. Jährling, C. Hahn, H. Dodt:
"Optimization in ultramicroscopy imaging technique through laser light sheet enhancement";
Talk: LightSheet Fluorescence Microscopy International Conference,
Genoa (invited);
2015-07-05
- 2015-07-08; in: "2nd LightSheet Fluorescence Microscopy International Conference",
(2015),
34.
-
S. Saghafi, K. Becker, N. Jährling, C. Hahn, H. Dodt:
"Recent developments in light sheet ultramicroscopy imaging techniques";
Talk: International Conference on Photonics in Europe Collocated with LASER 2015 World of PHOTONICS,
Munich, Germany;
2015-06-21
- 2015-06-25; in: "Technical Programms",
(2015),
953601
- 953607.
-
S. Saghafi, A. Ehsani, M. Ghoranneviss, H. Hosseini, M. Hantezadeh, D. Dorranian, H. Dodt:
"Influence of visible-IR lasers on optical properties and surface morphology of polycarbonate surface";
Talk: EOS Topical Meetings in Capri,
Frankreich, Paris;
2008-09-29
- 2008-10-02; in: "On-Site Programme",
(2008),
1
- 3.
-
S. Saghafi, R. Penjweini, M. Ghoranneviss, H. Dodt:
"Investigating the effects of flat-top laser beams (532 and 660 nm) in annihilation of pistachio mold fungus using spectrophotometry analysis";
Poster: EOS Topical Meetings in Capri,
Capri, Italien;
2009-09-27
- 2009-09-30; in: "On-Site Programme",
(2009).
-
G. Scamarcio, M. Vitiello, V. Spagnolo, C. DiFranco, C. Pflügl, W. Schrenk, G. Strasser:
"Electronic and thermal properties of mid-IR QCLs";
Talk: Photonics West 2006,
San Jose, USA (invited);
2006-01-20
- 2006-01-25; in: "Photonics West",
(2006).
-
S. Schartner, M. Austerer, E. Mujagic, L. Hoffmann, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Probing the photonic band structure by resonant responsivity enhancement in QWIPs";
Talk: International Conference on Intersubband Transitions in Quantum Wells,
Ambleside, United Kingdom (invited);
2007-09-09
- 2007-09-14; in: "nternational Conference on Intersubband Transitions in Quantum Wells 2007",
(2007),
3
- 4.
-
S. Schartner, M. Austerer, M. Nobile, S. Golka, C. Pflügl, T. Roch, A. M. Andrews, W. Schrenk, G. Strasser:
"Far Field Investigations on Quantum Cascade Lasers";
Poster: GMe Workshop 2006,
Wien;
2006-10-12; in: "GMe Workshop 2006 Proceedings",
K. Riedling (ed.);
(2006),
ISBN: 978-3-901578-17-5;
39
- 42.
-
S. Schartner, M. Austerer, M. Nobile, S. Golka, C. Pflügl, T. Roch, A. M. Andrews, W. Schrenk, G. Strasser:
"Farfied investigation on quantum cascade lasers";
Poster: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Cortona, Italien;
2006-06-25
- 2006-06-30; in: "Abstract Book",
(2006).
-
S. Schartner, M. Austerer, C. Pflügl, T. Roch, A. M. Andrews, W. Schrenk, G. Strasser:
"Intersubband nonlinearities in GaAs-based quantum cascade lasers";
accepted as talk for: Optics East 2006,
Boston, USA (invited);
2006-10-01
- 2006-10-04; in: "Abstract Book & Proceedings SPIE".
-
S. Schartner, M. Austerer, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Compact distributed-feedback semiconductor lasers for the mid-IR region";
Poster: 58. Jahrestagung der Österreichischen Physikalischen Gesellschaft (ÖPG),
Leoben;
2008-09-22
- 2008-09-26; in: "58. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2008),
101.
-
S. Schartner, S. Golka, M. Austerer, A. M. Andrews, W. Schrenk, G. Strasser:
"Band structure mapping of photonic crystal photodetectors,";
Poster: Nano and Photonics,
Mauterndorf, Österreich;
2007-03-14
- 2007-03-16; in: "Nano and Photonics Mauterndorf 2007",
(2007).
-
S. Schartner, S. Golka, M. Austerer, A. M. Andrews, W. Schrenk, G. Strasser:
"Photonic crystal mid infradred photodetectors";
Poster: Workshop of the Austrian Networks for Nanosciences and Nanotechnology,
Krems;
2006-11-21
- 2006-11-22; in: "Abstract Book",
(2006),
154.
-
S. Schartner, S. Golka, M. Austerer, C. Pflügl, W. Schrenk, G. Strasser:
"Photonic Crystal Cavities for Quantum Cascade Lasers";
Poster: Junior Scientist Conference 2006,
Wien;
2006-04-19
- 2006-04-21; in: "Proceedings of the Junior Scientist Conference 2006",
(2006),
169
- 170.
-
S. Schartner, S. Golka, C. Pflügl, W. Schrenk, G. Strasser:
"Processing of 2D coupled photonic crystal microcavity quantum cascade lasers";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
2005-09-19
- 2005-09-22; in: "Book of Abstracts",
(2005),
3-1_06.
-
S. Schartner, S. Golka, C. Pflügl, W. Schrenk, G. Strasser:
"Processing of 2D coupled photonic crystal microcavity quantum cascade lasers";
Poster: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien, Österreich;
2005-09-27
- 2005-09-29; in: "Tagungsband",
(2005),
184.
-
S. Schartner, L. Hoffmann, S. Golka, M. Austerer, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Polarization Dependent Band Structure Mapping of Photonic Crystal Mid Infrared Photodetectors";
Talk: CLEO/Europe - IQEC 2007,
München, Deutschland;
2007-06-20; in: "Conference Digest",
(2007).
-
S. Schartner, S. Kalchmair, M. Nobile, E. Mujagic, B. Basnar, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Photonic Crystal Photodetectors";
Talk: Montanuniversität Leoben,
Leoben;
2009-04-30; in: "Seminar aus Halbleiterphysik und Technologie",
(2009).
-
S. Schartner, E. Mujagic, L. Hoffmann, B. Basnar, H. Detz, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Photonic Engineering of Intersubband Devices";
Talk: GMe Forum 2008,
Wien (invited);
2008-11-13
- 2008-11-14; in: "Abstracts of the Invited Presentations",
(2008),
11.
-
S. Schartner, M. Nobile, M. Austerer, E. Mujagic, L. Hoffmann, D. Andrijasevic, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Investigation of non-bandgap defect states in 2D photonic crystals QWIPs";
Poster: 9th International Conference on Mid-Infrared Optoelectronics: Materials and Devices (MIOMD-IX),
Freiburg, Deutschland;
2008-09-07
- 2008-09-11; in: "9th Int. Conf. on Mid-Infrared Optoelectronics: Materials and Devices",
(2008),
182
- 183.
-
S. Schartner, M. Nobile, M. Austerer, E. Mujagic, L. Hoffmann, D. Andrijasevic, H. Detz, W. Schrenk, P. Klang, A. M. Andrews, G. Strasser:
"Photonic crystal band edge and defect states in the spectral response of intersubband detectors";
Talk: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01; in: "Abstracts",
(2008),
55
- 56.
-
S. Schartner, M. Nobile, M. Austerer, E. Mujagic, L. Hoffmann, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Photocurrent Repsonse from Photonic Crystal Band Structure and Defect Modes";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
2008-02-18
- 2008-02-22; in: "Abstracts",
(2008),
179.
-
S. Schartner, W. Schrenk, S. Golka, M. Austerer, P. Klang, A. M. Andrews, G. Strasser:
"Photonic Crystal Infrared Photodetectors";
Talk: Conference on Lasers and Electro-Optics,
Baltimore, USA;
2007-05-06
- 2007-05-11; in: "Technical Digest",
(2007),
ISBN: 1-55752-834-9.
-
G. Schindler, G. Steinlesberger, C.M. Engelhardt, W. Steinhögl:
"Electrical Characterization of Copper Interconnects with End-of-Roadmap Feature Sizes";
Talk: Workshop on Ultimate Integration of Silicon (ULIS),
München, Germany;
2002-03-06
- 2002-03-08; in: "Proceedings 3rd European Workshop on Ultimate Interation of Silicon",
(2002),
65.
-
L. Schneebeli, C. Böttge, B. Breddermann, M. Kira, S. Koch, W.D. Rice, J. Kono, S. Zybell, S. Winnerl, J. Bhattacharyya, F. Eßer, H. Schneider, M. Helm, B Ewers, A. Chernikov, M. Koch, S. Chatterjee, G. Khitrova, H. Gibbs, A. M. Andrews, G. Strasser:
"Observation and manipulation of dipole-forbidden exciton transitions in semiconductors";
Talk: CLEO 2014,
San Jose;
2014-06-08
- 2014-06-13; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
2 pages.
-
H. Schneider, J. Bhattacharyya, S. Zybell, S. Winnerl, M. Helm, A. M. Andrews, G. Strasser, K. Köhler:
"Free-electron laser spectroscopy of quantum well exciton dynamics";
Talk: International Conference on infrared and millimeter waves (IRMMW),
Wollongong, Australia;
2012-09-23
- 2012-09-28; in: "IRMMW-THz 2012",
12
(2012).
-
C. Schönbauer, N. Jährling, H. Dodt, B. Dickson, F. Schnorrer:
"Systematic analysis of adult muscle formation and function in Drosophila";
Poster: International Society of Developmental Biologist Congress,
Edinburgh, United Kingdom;
2009-09-06
- 2009-09-10; in: "Abstracts",
(2009).
-
C. Schöndorfer, A. Lugstein, E. Bertagnolli:
"Focused Ion Beam Induced Nanodot, Nanocrystal and Nanofiber Growth";
Poster: GMe Workshop 2006,
Wien;
2006-10-13; in: "GMe Workshop 2006 Proceedings",
K. Riedling (ed.);
(2006),
ISBN: 978-3-901578-17-5;
93
- 96.
-
C. Schöndorfer, A. Lugstein, L. Bischoff, Y.J. Hyun, P. Pongratz, E. Bertagnolli:
"A new metallic nanowire growth technique utilizing focused ion beams";
Poster: International Conference on Physics of Semiconductor (ICPS),
Wien, Österreich;
2006-07-24
- 2006-07-28; in: "International Conference on Physics of Semiconductor Programm",
(2006),
173.
-
C. Schöndorfer, A. Lugstein, L. Bischoff, Y.J. Hyun, P. Pongratz, E. Bertagnolli:
"FIB induced growth of antimony nanowires";
Poster: International Conference of Micro- and Nano-Engineering 2006,
Barcelona, Spain;
2006-09-17
- 2006-09-20; in: "Book of Abstracts",
(2006),
595
- 596.
-
C. Schöndorfer, A. Lugstein, Y.J. Hyun, P. Pongratz, E. Bertagnolli:
"Focused Ion Beam Induced Growth of Antimony Nanowires";
Talk: MRS Fall Meeting,
Boston, USA;
2006-11-27
- 2006-12-01; in: "2006 MRS Fall Meeting Abstracts",
(2006).
-
S. Schönhuber, M. Brandstetter, C. Deutsch, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Quantum Cascade Random Lasers";
Talk: ITQW,
Wien;
2015-09-06
- 2015-09-11; in: "Technical Digest",
(2015).
-
S. Schönhuber, M. Brandstetter, C. Deutsch, M. Krall, H. Detz, T. Hisch, M. Liertzer, A. M. Andrews, W. Schrenk, G. Strasser, S. Rotter, K. Unterrainer:
"Quantum Cascade THz Random Lasers";
Talk: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
2015-09-01
- 2015-09-04; in: "Gemeinsame Jahrestagung in Wien - ÖPG Tagungsband",
(2015).
-
S. Schönhuber, M. Brandstetter, C. Deutsch, M. Krall, M. A. Kainz, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High Power THz Quantum Cascade Lasers";
Poster: Austrian MBE Workshop 2017,
Wien;
2017-09-28
- 2017-09-29; in: "Austrian MBE Workshop",
(2017),
60.
-
S. Schönhuber, M. Brandstetter, T. Hisch, C. Deutsch, M. Krall, H. Detz, M. Liertzer, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, S. Rotter, G. Strasser, K. Unterrainer:
"Random lasers for broadband directional emission";
Talk: International Workshop: Discrete, Nonlinear and Disordered Optics,
Dresden;
2017-05-08
- 2017-05-12; in: "Discrete, Nonlinear and Disordered Optics",
(2017).
-
S. Schönhuber, M. Brandstetter, T. Hisch, M. Krall, M. A. Kainz, H. Detz, A. M. Andrews, G. Strasser, S. Rotter, K. Unterrainer:
"Investigating the Emission Characteristics of THz Quantum Cascade Random Lasers";
Poster: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge;
2016-09-04
- 2016-09-09; in: "Technical Digest",
(2016),
Paper ID P1.4,
2 pages.
-
S. Schönhuber, M. Brandstetter, T. Hisch, M. Krall, M. A. Kainz, H. Detz, G. Strasser, S. Rotter, K. Unterrainer:
"Study of Collimated Broadband Surface Emission from THz Quantum Cascade Random Lasers";
Poster: Int. School of Solid State Physics, 70th Workshop: Terahertz Science, Nanotechnologies and Applications,
Erice, Sicily;
2016-07-16
- 2016-07-22; in: "Technical Digest",
(2016),
2 pages.
-
S. Schönhuber, M. Brandstetter, M. Krall, M. A. Kainz, H. Detz, T. Zederbauer, A. M. Andrews, G. Strasser, K. Unterrainer:
"Acquisition of spectrally resolved multimode far-fields from terahertz quantum cascade lasers";
Talk: CLEO Europe,
München, Deutschalnd;
2017-06-25
- 2017-06-29; in: "Abstracts of the Conference on Lasers and the Electro-Optics Europe & European Quantum Electronics Conference",
(2017),
ISBN: 978-1-5090-6736-7;
Paper ID CC-3.1 SUN,
1 pages.
-
S. Schönhuber, M. Brandstetter, M. Krall, M. A. Kainz, H. Detz, T. Zederbauer, A. M. Andrews, G. Strasser, K. Unterrainer:
"Frequency resolved far field analysis of terahertz quantum cascade lasers";
Poster: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore;
2017-09-10
- 2017-09-15; in: "ITQW2017",
(2017).
-
S. Schönhuber, M. Brandstetter, M. Krall, M. A. Kainz, H. Detz, T. Zederbauer, A. M. Andrews, G. Strasser, K. Unterrainer:
"Mode imaging of terahertz quantum cascade lasers";
Talk: International Workshop on Optical Terahertz Science and Technology (OTST 2017),
London;
2017-04-02
- 2017-04-07; in: "OTST 2017 - Optical Terahertz Science and Technology",
(2017),
1 pages.
-
S. Schönhuber, C. Deutsch, M. Brandstetter, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Novel Photonic Concepts for THz QCLs: Random Lasers";
Talk: CLEO Europe 2015,
München, Deutschalnd;
2015-06-21
- 2015-06-25; in: "EQEC 2015 - Conference Digest",
(2015),
ISBN: 978-1-4673-7475-0;
Paper ID CC-1.4,
1 pages.
-
S. Schönhuber, C. Deutsch, M. Brandstetter, M. Krall, H. Detz, T. Hisch, S. Rotter, G. Strasser, K. Unterrainer:
"Broadband Surface Emitting Random Lasers";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
2016-02-21
- 2016-02-26; in: "Technical Digest",
(2016),
Paper ID P 1.6.3,
2 pages.
-
S. Schönhuber, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Quantum Cascade Lasers: High Performance Terahertz Sources";
Talk: 8th ESA Workshop,
Noordwijk, Niederlande;
2018-12-10
- 2018-12-12; in: "Proceedings of millimetre-wave technology and applications",
(2018),
1
- 2.
-
S. Schönhuber, M. A. Kainz, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Direct InGaAs semiconductor waferbonding for improved active regions";
Poster: 20th International Winterschool Mauterndorf 2018,
Mauterndorf;
2018-02-25
- 2018-03-02; in: "20th International Winterschool Mauterndorf",
(2018),
1
- 3.
-
S. Schönhuber, M. A. Kainz, B. Limbacher, M. Wenclawiak, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Controlling and shaping the THz emission from Quantum Cascade Lasers";
Keynote Lecture: IRMMW-THz 2020,
New York, USA (invited);
2020-11-08
- 2020-11-13; in: "45th International Conference on Infrared, Millimeter, and Terahertz Waves",
(2020),
3 pages.
-
S. Schönhuber, B. Limbacher, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, K. Unterrainer:
"All-optical Control of Terahertz Quantum Cascade Random Lasers";
Poster: Online Conference - International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
2020-09-07
- 2020-09-10; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
-
S. Schönhuber, B. Limbacher, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, K. Unterrainer:
"Optically Tunable Terahertz Quantum Cascade Random Lasers";
Talk: CLEO/Europe-EQEC 2019,
München;
2019-06-23
- 2019-06-27; in: "CLEO/Europe-EQEC 2019",
IEEE,
(2019),
ISBN: 978-1-7281-0469-0;
1
- 2.
-
S. Schönhuber, B. Limbacher, M. A. Kainz, D Theiner, M. Jaidl, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, K. Unterrainer:
"Optical Control of Terahertz Quantum Cascade Random Lasers";
Talk: 21st International Winterschool New Developments in Solid State Physics,
Mauterndorf;
2020-02-23
- 2020-02-28; in: "21st International Winterschool New Developments in Solid State Physics",
(2020),
80
- 81.
-
S. Schönhuber, B. Limbacher, M. A. Kainz, D Theiner, M. Jaidl, A. M. Andrews, H. Detz, G. Strasser, J. Darmo, K. Unterrainer:
"Optical Tuning of Terahertz Quantum Cascade Random Lasers";
Poster: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
250
- 251.
-
S. Schönhuber, M. Wenclawiak, B. Limbacher, M. A. Kainz, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Time Domain Spectroscopy on Periodic and Random Structures";
Poster: EOS 2018,
Berlin, Germany;
2018-05-06
- 2018-05-09; in: "Proceedings: Topical Meeting on Terahertz Science & Technology",
(2018),
ISBN: 978-952-68553-5-6;
1
- 3.
-
M. Schramböck, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Self-assembled InAs QDs grown on AlGaAs surfaces";
Talk: Micro- and Nano Engineering,
Kopenhagen, Dänemark;
2007-09-23
- 2007-09-26; in: "MNE07 Micro- and Nano Engineering",
(2007),
115.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, A. Lugstein, G. Strasser:
"Nano-patterning and growth of self-assembled nano-structures";
Poster: Junior Scientist Conference 2006,
Wien;
2006-04-19
- 2006-04-21; in: "Proceedings of the Junior Scientist Conference 2006",
(2006),
171
- 172.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, A. Lugstein, G. Strasser:
"Nano-patterning and growth of self-assembled quantum dots";
Poster: 6th International Workshop on Epitaxial Semiconductors on Patterned Substrates and Novel Index Surfaces,
Nottingham, Great Britain;
2006-04-03
- 2006-04-05; in: "Book of Abstract",
(2006),
56.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"In-based Quantum Dots on AlxGa1-xAs Surfaces";
Poster: Workshop of the Austrian Networks for Nanosciences and Nanotechnology,
Krems;
2006-11-21
- 2006-11-22; in: "Book of Abstracts",
(2006),
98
- 99.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"In-based Quantum Dots on AlxGa1-xAs Surfaces";
Poster: International Conference of Micro- and Nano-Engineering 2006,
Barcelona, Spanien;
2006-09-17
- 2006-09-20; in: "Book of Abstracts",
(2006),
597
- 598.
-
M. Schramböck, W. Schrenk, T. Roch, A. M. Andrews, M. Austerer, A. Lugstein, G. Strasser:
"Nano-patterning and growth of self-assembled nano-structures";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2006-02-13
- 2006-02-17; in: "Book of Abstracts",
(2006),
139.
-
M. Schramböck, W. Schrenk, T. Roch, A. M. Andrews, M. Austerer, A. Lugstein, G. Strasser:
"Self organized InAs quantum dots grown on patterned GaAs substrates";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
2005-09-19
- 2005-09-22; in: "Book of Abstracts",
(2005),
3-n_28.
-
M. Schramböck, W. Schrenk, T. Roch, A. M. Andrews, M. Austerer, A. Lugstein, G. Strasser:
"Self organized InAs quantum dots grown on patterned GaAs substrates";
Poster: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien, Österreich;
2005-09-27
- 2005-09-29; in: "Tagungsband",
(2005),
114
- 115.
-
M. Schramböck, W. Schrenk, T. Roch, A. M. Andrews, M. Austerer, G. Strasser:
"Self Organized InAs Quantum Dot Arrays on Patterned GaAs Substrates";
Poster: GMe Forum 2005,
Wien, Österreich;
2005-03-17
- 2005-03-18; in: "Proceedings of the GME Forum 2005",
(2005),
137.
-
W. Schrenk, S Anders, C. Pflügl, E. Gornik, G. Strasser:
"Quantum Cascade Lasers";
Talk: GMe Forum 2003,
Wien, Austria;
2003-04-10
- 2003-04-11; in: "Proceedings GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
61
- 64.
-
W. Schrenk, S. Anders, E. Gornik, G. Strasser:
"Room temperature operation of distributed feedback AlGaAs/GaAs quantum cascade lasers";
Talk: CLEO 2002,
Long Beach, USA;
2002-05-19
- 2002-05-25; in: "OSA Trends in Optics and Photonics",
Optical Socitey of America,
Vol. 73, Washington DC
(2002),
155.
-
W. Schrenk, N. Finger, S. Gianordoli, L. Hvozdara, E. Gornik, G. Strasser:
"Infrared Quantum Cascade Laser";
Talk: GMe Forum 2001,
Wien;
2001-04-05
- 2001-04-06; in: "Proceedings of the Seminar",
(2001),
ISBN: 3-901578-07-2;
67.
-
W. Schrenk, N. Finger, S. Gianordoli, L. Hvozdara, G. Strasser, E. Gornik:
"First- and second- order distributed feedback AlGaAs/GaAs quantum cascade lasers";
Talk: CLEO Europe 2000,
Nice, France;
2000-09-10
- 2000-09-15; in: "CLEO Europe/IQEC 2000 Technical Digest",
(2000),
85.
-
W. Schrenk, C. Pflügl, M. Austerer, S. Golka, G. Strasser, R. Green, L.R. Wilson, D.G. Revin, E.A. Zibik, J.W. Cockburn, C.M. Tey, A.B. Krysa, J.S. Roberts, A.G. Cullis:
"Surface emission from MBE and MOVPE grown quantum cascade lasers";
Talk: Conference on Lasers and Electro-Optics,
Baltimore, Maryland, USA;
2005-05-22
- 2005-05-27; in: "Technical Digest CD-ROM",
(2005),
ISBN: 1-55752-770-9.
-
W. Schrenk, C. Pflügl, S. Golka, T. Roch, G. Strasser:
"GaAs/AlGaAs based Quantum Cascade Lasers";
Talk: International Conference on Infrared and Millimeter Waves,
Karlsruhe, Deutschland;
2004-09-27
- 2004-10-01; in: "Confernce Digest of the IRMMW 2004",
(2004),
281
- 282.
-
F. F. Schrey, T. Müller, G. Fasching, G. Strasser, K. Unterrainer:
"Ultrafast intersublevel spectroscopy on InAs/GaAs Quantum dots";
Talk: Quantum Dots 2004,
Banff, Canada;
2004-05-10
- 2004-05-13; in: "Program and Book of Abstracts",
(2004),
135.
-
F. Schrey, G. Fasching, T. Müller, C. Pflügl, T. Roch, K. Unterrainer, G. Strasser:
"Quantum dot inter-sublevel devices";
Poster: 13th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Salzburg, Austria;
2004-02-15
- 2004-02-20; in: "Book of Abstracts",
(2004),
119.
-
F. Schrey, G. Fasching, T. Müller, G. Strasser, K. Unterrainer:
"Ultrafast intersublevel spectroscopy of a single quantum dot";
Poster: GMe Forum 2005,
Vienna, Austria;
2005-03-17
- 2005-03-18; in: "Abstracts of the Poster Presentations",
(2005),
ISBN: 3-901578-15-3;
17.
-
F. Schrey, D. Nguyen, T. Müller, L. Rebohle, N. Regnault, R. Ferreira, G. Bastard, G. Strasser, K. Unterrainer:
"IR quantum dot detectors with miniband tunnel extraction";
Talk: 12th International Conference on Terahertz Electronics,
Karlsruhe, Deutschland;
2004-09-27
- 2004-10-01; in: "IEEE Conference Digest of the 2004 IRMMW 2004/THz 2004 04EX857",
(2004),
ISBN: 0-7803-8490-3;
245
- 246.
-
F. Schrey, L. Rebohle, T. Müller, S Anders, W. Schrenk, K. Unterrainer, G. Strasser:
"Modification of the photoresponce by energy level engineering in InAs quantum dot nanostructures";
Poster: GMe Forum 2003,
Wien Austria;
2003-04-10
- 2003-04-11; in: "Proceedings GMe Forum 2003",
(2003),
97
- 101.
-
F. Schrey, M. Schramböck, E. Magerl, W. Schrenk, G. Fasching, T. Roch, A.M. Andrews, M. Austerer, A. Lugstein, G. Strasser, K. Unterrainer:
"Nano-patterning and high resolution optical spectroscopy on selfassembled quantum dots";
Talk: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien;
2005-09-27
- 2005-09-29; in: "Book of Abstracts",
(2005),
44
- 45.
-
F.F. Schrey, G. Fasching, T. Müller, G. Strasser, K. Unterrainer:
"Ultrafast Spectroscopy of QD Structures for Mid-Infrared Applications";
Talk: GMe Workshop 2006,
Wien, Österreich (invited);
2006-10-13; in: "GMe Workshop 2006 Proceedings",
K. Riedling (ed.);
(2006),
ISBN: 978-3-901578-17-5;
9
- 12.
-
F.F. Schrey, E. Magerl, G. Fasching, G. Strasser, K. Unterrainer:
"Ultrafast intersublevel spectroscopy of a single InAs Quantum Dot";
Poster: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
2006-02-13
- 2006-02-17; in: "Book of Abstract",
(2006),
91
- 92.
-
F.F. Schrey, D. Nguyen, N. Regnault, R. Ferreira, G. Bastard, G. Strasser, K. Unterrainer:
"Optical properties of IR quantum dot detectors with miniband tunnel extraction";
Talk: 28th International Conference on the Physics of Semiconductors,
Vienna, Austria;
2006-07-24
- 2007-07-28; in: "Book of Abstracts",
(2006),
247.
-
S. Schuler, D. Neumeier, D. Schall, L. Dobusch, O. Bethge, B. Schwarz, M. Krall, T. Müller:
"Graphene-based photodetector on a slot waveguide";
Talk: 7th Graphene and 2D Materials Satellite Symposium,
Wien;
2016-08-13; in: "Technical Digest",
(2016),
1 pages.
-
S. Schuler, D. Schall, D. Neumaier, L. Dobusch, O. Bethge, B. Schwarz, M. Krall, T. Müller:
"Gate-controlled graphene pn-junction for integrated photodetection";
Talk: Graphene 2017,
Barcelona, Spain;
2017-03-28
- 2017-03-31; in: "Abstracts of the 7th edition of the largest European Event in Graphene and 2D Materials",
(2017).
-
S. Schuler, D. Schall, D. Neumaier, L. Dobusch, O. Bethge, B. Schwarz, M. Krall, T. Müller:
"Integrated graphene photodetector based on a gate-controlled pn-junction";
Talk: SPIE Photonics West 2017,
San Francisco;
2017-01-31
- 2017-02-02; in: "Conference Proceedings",
10106
(2017),
ISBN: 9781510606531;
Paper ID 10106-36,
1 pages.
-
S. Schuler, D. Schall, D. Neumaier, L. Dobusch, O. Bethge, B. Schwarz, M. Krall, T. Müller:
"Two-dimensional carbon for next generation communication systems";
Talk: 3rd Erwin Schrödinger Symposium 2018,
Dornbirn, Austria (invited);
2018-07-02
- 2018-07-04; in: "Progress in International Nanoscience",
(2018).
-
S. Schuler, D. Schall, D. Neumaier, B. Schwarz, K. Watanabe, T. Taniguchi, T. Müller:
"Enhanced response from a graphene photodetector based on a photonic crystal defect waveguide";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
2018-02-25
- 2018-03-02; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
1
- 2.
-
S. Schuler, D. Schall, D. Neumaier, B. Schwarz, K. Watanabe, T. Taniguchi, T. Müller:
"Graphene photodetector based on a photonic crystal defect waveguide";
Talk: Graphene Week 2018,
San Sebastian, Spain;
2018-09-10
- 2018-09-14; in: "Book of Abstracts",
(2018),
1
- 2.
-
S. Schuler, D. Schall, D. Neumaier, B. Schwarz, K. Watanabe, T. Taniguchi, T. Müller:
"Improved graphene-based photodection realized on a photonic crystal defect waveguide";
Talk: Graphene 2018,
Dresden, Germany;
2018-06-26
- 2018-06-29; in: "Abstracts of the 8th edition of the largest European Event in Graphene and 2D Materials",
(2018).
-
P. Schuller, J. Mika, H. D. Wanzenböck, P. Scholze, E. Bertagnolli:
"Investigation of Neuronal Activity Recorded with Multi-Electrode Arrays Using Principal Component Analysis";
Talk: MEA Meeting 2014 - 9th Intern. Meeting on Substrate-Integrated Microelectrode Arrays,
Reutlingen;
2014-07-01
- 2014-07-04; in: "Proceedings MEA Meeting 2014",
(2014),
222
- 223.
-
P Schwaha, S Anders, T. Roch, W. Schrenk, G. Strasser:
"Electrically pumped quantum cascade ring lasers";
Poster: GMe Forum 2003,
Wien, Austria;
2003-04-10
- 2003-04-11; in: "Proceeding GMe Forum 2003",
(2003),
ISBN: 3-901578-10-2;
77
- 80.
-
P. Schwaha, R. Heinzl, W. Brezna, J. Smoliner, H. Enichlmair, R. Minixhofer, T. Grasser:
"Fully Three-Dimensional Analysis of Leakage Current in Non-Planar Oxides";
Talk: European Simulation and Modeling Conference (ESMC),
Porto;
2005-10-24
- 2005-10-26; in: "The 2005 European Simulation and Modelling Conference Proceedings",
(2005),
ISBN: 90-77381-22-8;
469
- 473.
-
P. Schwaha, R. Heinzl, W. Brezna, J. Smoliner, H. Enichlmair, R. Minixhofer, T. Grasser:
"Leakage Current Analysis of a Real World Silicon-Silicon Dioxide Capacitance";
Talk: International Caracas Conference on Devices, Circuits and Systems (ICCDCS),
Playa del Carmen;
2006-04-26
- 2006-04-28; in: "Proceedings International Caribbean Conference on Devices, Circuits and Systems",
(2006),
ISBN: 1-4244-0042-2;
365
- 370.
-
B. Schwarz:
"Accurate modeling of interband cascade lasers";
Talk: 20th International Winterschool Mauterndorf 2018,
Mauterndorf;
2018-02-25
- 2018-03-02; in: "20th International Winterschool Mauterndorf",
(2018),
47.
-
B. Schwarz:
"Hot topics: what´s next in integrated frequency combs";
Talk: CLEO/Europe EQEC 2021,
Virtual Conference (invited);
2021-06-21
- 2021-06-25; in: "Proceedings of Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference",
(2021),
Paper ID SP-2.
-
B. Schwarz:
"Mid-infrared Frequency Combs: Towards a Spectrometer-on-a-chip";
Talk: Online Conference - OSA Optical Sensors and Sensing Congress 2020,
Vancouver (invited);
2020-06-22
- 2020-06-26; in: "Proceedings Optical Sensors and Sensing Congress",
(2020),
ISBN: 978-1-943580-78-1.
-
B. Schwarz:
"QCL technology for future applications: from on-chip detection to frequency comb generation";
Talk: 25th International Symposium on Nanostructures: Physics and Technology,
Sankt Petersburg (invited);
2017-06-26
- 2017-06-30; in: "Proceedings 25th Internat. Symposium Nanostructures: Physics and Technology",
(2017),
Paper ID LOED.05i,
1 pages.
-
B. Schwarz, M Beiser, S. Dal Cin, J. Hillbrand, N Opacak, G. Strasser, H. Schneider, M. Piccardo, F. Capasso, R. Weih:
"Mid-infrared frequency combs based on semiconductor lasers";
Talk: Online Conference - Photonics West 2021,
California (invited);
2021-03-06
- 2021-03-12; in: "Proceedings Volume 11705, Novel In-Plane Semiconductor Lasers XX",
(2021),
Paper ID 117050S,
1 pages.
More information
-
B. Schwarz, M Beiser, F. Pilat, S. Dal Cin, J. Hillbrand, R. Weih, J. Koeth, S. Höfling:
"Interband cascade laser frequency comb";
Talk: SPIE Europe Photonics Europe Conference,
Strasbourg;
2022-04-03
- 2022-04-07; in: "Semiconductor Lasers and Laser Dynamics X",
(2022),
Paper ID 12141-31,
1 pages.
-
B. Schwarz, A. Harrer, D. Ristanic, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Bi-functional quantum cascade laser/detectors: From design to applications";
Talk: ITQW,
Wien;
2015-09-06
- 2015-09-11; in: "ITQW 2015",
(2015),
104
- 105.
-
B. Schwarz, J. Hillbrand, M Beiser, A. M. Andrews, H. Detz, R. Weih, A. Schade, S. Höfling, G. Strasser:
"Interband cascade laser frequency combs";
Talk: SPIE Photonics West 2020,
San Francisco (invited);
2020-02-01
- 2020-02-06; in: "Proceedings Volume 11284, Smart Photonic and Optoelectronic Integrated Circuits XXII",
(2020),
Paper ID 11284-40,
1 pages.
More information
-
B. Schwarz, J. Hillbrand, M Beiser, A. M. Andrews, G. Strasser, H. Detz, A. Schade, R. Weih, S. Höfling:
"Interband cascade laser frequency combs for monolithic and battery driven spectrometers";
Talk: CLEO USA 2019,
San Jose, California;
2019-05-05
- 2019-05-10; in: "Proceedings of Conference on Lasers and Electro-Optics",
(2019),
ISBN: 978-1-943580-57-6;
Paper ID STu4O.6,
1 pages.
More information
-
B. Schwarz, J. Hillbrand, M Beiser, A. M. Andrews, G. Strasser, H. Detz, A. Schade, R. Weih, S. Höfling:
"Interband Cascade Lasers for Monolithic and Battery Driven Dual-Comb Spectrometers";
Talk: CLEO/Europe-EQEC 2019,
Munich;
2019-06-23
- 2019-06-27; in: "CLEO/Europe-EQEC 2019",
(2019),
216.
-
B. Schwarz, J. Hillbrand, M Beiser, A. M. Andrews, G. Strasser, H. Detz, A. Schade, R. Weih, S. Höfling:
"Supplementary information - A monolithic mid-infrared frequency comb platform based on interband cascade lasers";
Talk: IEEE SUM 2019,
Ft. Lauderdale (invited);
2019-07-08
- 2019-07-10; in: "IEEE Photonics Society Summer Topicals Meeting Series",
(2019).
More information
-
B. Schwarz, J. Hillbrand, M Beiser, N Opacak, A. M. Andrews, H. Detz, A. Schade, R. Weih, S. Höfling:
"Interband and quantum cascade laser frequency combs: From fundamentals towards monolithic spectrometers";
Talk: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
2019-08-26
- 2019-08-30; in: "Gemeinsame Jahrestagung in Zürich ÖPG, SPS",
(2019),
44.
-
B. Schwarz, J. Hillbrand, M Beiser, N Opacak, A. M. Andrews, H. Detz, G. Strasser, A. Schade, R. Weih, S. Höfling:
"Towards monolithic and battery driven mid-infrared dual-comb spectrometers";
Talk: ITQW 2019,
Ojai (invited);
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
130
- 131.
-
B. Schwarz, J. Hillbrand, M Beiser, M. Piccardo, P. Chevalier, A. Schade, H. Detz, A. M. Andrews, G. Strasser, F. Capasso, S. Höfling:
"QCL and ICL frequency combs for miniaturized sensors";
Talk: SPIE Optics + Photonics 2018,
San Diego (invited);
2018-08-19
- 2018-08-23; in: "SPIE Optics + Photonics",
(2018),
196.
-
B. Schwarz, J. Hillbrand, M Beiser, A. Schade, H. Detz, A. M. Andrews, S. Höfling:
"Frequency comb generation using actively mode-locked interband cascade lasers";
Talk: 8th International Quantum Cascade Laser School and Workschop (IQCLSW 2018),
Cassis, France;
2018-09-02
- 2018-09-07; in: "Book of Abstracts",
(2018),
2 pages.
-
B. Schwarz, J. Hillbrand, M Beiser, A. Schade, H. Detz, A. M. Andrews, S. Höfling:
"Interband Cascade Laser Frequency Combs: A New Tool for Miniaturization";
Keynote Lecture: SCIX 2018,
Atlanta (invited);
2018-10-21
- 2018-10-26; in: "SCIX 2018 - The great scientific exchange",
(2018).
-
B. Schwarz, J. Hillbrand, M Beiser, A. Schade, H. Detz, A. M. Andrews, R. Weih, S. Höfling:
"Repulsive intermode beat synchronization in interband cascade laser frequency combs";
Talk: Photonics West 2019,
San Francisco;
2019-02-02
- 2019-02-07; in: "Proceedings of SPIE",
(2019),
Paper ID 10939-45,
1 pages.
-
B. Schwarz, J. Hillbrand, M. Piccardo, A. M. Andrews, H. Detz, H. Schneider, G. Strasser, F. Capasso:
"Picosecond pulses from an actively mode-locked quantum cascade laser";
Talk: SPIE Photonics West 2020,
San Francisco (invited);
2020-02-01
- 2020-02-06; in: "Proceedings Volume 11288, Quantum Sensing and Nano Electronics and Photonics XVII",
(2020),
Paper ID 11288-62,
1 pages.
More information
-
B. Schwarz, B. Hinkov, D. Ristanic, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"A monolithically integrated mid-infrared lab-on-a-chip";
Talk: 42nd International Conference on Nano Engineering, MNE 2016,
Wien (invited);
2016-09-19
- 2016-09-23; in: "Technical digest",
(2016),
Paper ID D1-1-inv,
1 pages.
-
B. Schwarz, N Opacak:
"Understanding frequency-modulated combs";
Talk: SPIE Photonics West 2020,
San Francisco (invited);
2020-02-01
- 2020-02-06; in: "SPIE Photonics West Conference 11301",
(2020),
Paper ID 11301-41,
1 pages.
More information
-
B. Schwarz, N Opacak, S. Dal Cin, J. Hillbrand:
"Frequency combs enabled by Bloch gain in quantum cascade lasers";
Talk: Ursi Gass 2021,
Rom (invited);
2021-08-28
- 2021-09-04; in: "Ursi Gass 2021",
(2021),
Paper ID Th-Dl 5-PM2-3,
1 pages.
-
B. Schwarz, N Opacak, F. Pilat, D. Kazakov, S. Dal Cin, M Beiser, L. Columbo, J. Hillbrand, M. Piccardo, F. Capasso:
"Frequency comb operation induced by a giant Kerr nonlinearity in quantum cascade lasers";
Talk: SPIE Europe Photonics Europe Conference,
Strasbourg, France;
2022-04-03
- 2022-04-07; in: "Semiconductor Lasers and Laser Dynamics X",
(2022),
Paper ID 12131-18,
1 pages.
-
B. Schwarz, P. Reininger, A. Harrer, D. MacFarland, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Single Period Quantum Cascade Detector";
Poster: Austrian MBE Workshop 2017,
Wien;
2017-09-28
- 2017-09-29; in: "Austrian MBE Workshop",
(2017),
58.
-
B. Schwarz, P. Reininger, D. Ristanic, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser:
"Towards mid-infrared on-chip sensing";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2014-02-23
- 2014-02-28; in: "New Developments in Solid State Physics - Mauterndorf 2014",
(2014),
106
- 107.
-
B. Schwarz, D. Ristanic, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"A mid-infrared Lab-on-a-Chip: Generating, Guiding and Detecting Light in a Monolithic Device";
Talk: CLEO 2014,
San Jose;
2014-06-08
- 2014-06-13; in: "Laser Science to Photonic Applications",
(2014),
ISBN: 978-1-55752-999-2;
2 pages.
-
B. Schwarz, D. Ristanic, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Plasmonics for mid-infrared on-chip sensing";
Talk: NANOMETA 2017,
Seefeld, Tirol;
2017-01-04
- 2017-01-07; in: "Proceedings of the 6th International Topical Meeting on Nanophotonics and Metamaterials",
(2017),
ISBN: 979-10-96389-02-5;
26.
-
B. Schwarz, D. Ristanic, P. Reininger, T. Zederbauer, D. MacFarland, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"A monolithically integrated mid-infrared lab-on-a-chip";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
2016-02-21
- 2016-02-26; in: "Digital digest",
(2016),
Paper ID P 1.1.5,
1 pages.
-
B. Schwarz, C. Wang, T. Mansuripur, M. Connors, L. Missaggia, D. McNulty, G. Strasser, F. Capasso:
"Continuous-wave operation of MOVPE grown bi-functional quantum cascade laser/detectors";
Talk: SPIE Opto,
San Francisco;
2017-01-28
- 2017-02-02; in: "Proc. SPIE 10111, Quantum Sensing and Nano Electronics and Photonics XIV",
Society of Photo-Optical Instrumentation Engineers,
(2017),
Paper ID 10111-85,
1 pages.
More information
-
B. Schwarz, C. Wang, L. Missaggia, P. Chevalier, T. Mansuripur, M. Connors, D. McNulty, J. Cederberg, G. Strasser, F. Capasso:
"Highly efficient frequency combs made from bi-funcional quantum cascade laser/detector material";
Talk: Photonics West 2018,
San Francisco (invited);
2018-01-27
- 2018-02-01; in: "Conference Proceedings",
(2018),
378.
-
B. Schwarz, C. Wang, L. Missaggia, P. Chevalier, T. Mansuripur, M. Connors, D. McNulty, J. Cederberg, G. Strasser, F. Capasso:
"Highly efficient frequency combs made from bi-functional";
Talk: SPIE Photonics West 2018,
San Francisco (invited);
2018-01-27
- 2018-02-01; in: "Proceedings of SPIE Volume 10553",
SPIE,
10553
(2018),
ISBN: 9781510615571;
Paper ID 10553-43,
1 pages.
-
B. Schwarz, C. Wang, L. Missaggia, T. Mansuripur, P. Chevalier, M. Connors, D. McNulty, J. Cederberg, G. Strasser, F. Capasso:
"High power frequency comb based on a bi-functional QCLD";
Talk: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore;
2017-09-10
- 2017-09-15; in: "ITQW2017",
(2017).
-
C. Schwarzer, L. Burgstaller, W. Schrenk, W. Charles, C. Gmachl, G. Strasser:
"Substrate and surface emitting ring cavity quantum cascade lasers";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
2012-09-02
- 2012-09-06; in: "International Quantum Cascade Lasers School & Workshop 2012",
(2012),
128.
-
C. Schwarzer, E. Mujagic, H. Detz, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Coherent Coupling of Ring Cavity Quantum Cascade Lasers";
Poster: Junior Scientist Conference 2010 (JSC 2010),
Wien;
2010-04-07
- 2010-04-09; in: "Proceedings",
(2010),
159
- 160.
-
C. Schwarzer, E. Mujagic, C. Deutsch, H. Detz, M. Nobile, S. Kalchmair, P. Klang, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Shaped Beams in Vertically Emitting Quantum Cascade Ring Lasers";
Poster: Annual Meeting of the Austrian & Swiss Phys.l Soc,
Innsbruck;
2009-09-02
- 2009-09-04; in: "Programme and Abstracts",
(2009),
96.
-
C. Schwarzer, E. Mujagic, M. Nobile, H. Detz, S. Ahn, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Coupling Strategies for Coherent Operation of Ring Cavity Surface Emiting Intersubband Lasers";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26; in: "16th International Winterschool Mauterndorf",
(2010),
194
- 195.
-
C. Schwarzer, E. Mujagic, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Substrate Emission of Ring Cavity Surface Emitting Quantum Cascade Lasers";
Talk: CLEO 2012,
San Jose, California, USA;
2012-05-06
- 2012-05-11; in: "CLEO: 2012",
(2012),
ISBN: 978-1-55752-943-5.
-
C. Schwarzer, E. Mujagic, Y. Yao, J. Chen, C. Gmachl, E. Gornik:
"Coupling strategies for two dimensional coherent semiconductor arrays";
Talk: 35th International Conference on Infrared, Millimeter and Therahertz Waves,
Rome, Italien;
2010-09-05
- 2010-09-10; in: "Conference Guide",
(2010),
28.
-
C. Schwarzer, E. Mujagic, T. Zederbauer, H. Detz, Y. Yan, A. M. Andrews, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Broadband single-mode and coherently emitting two-dimensional arrays";
Talk: ITQW,
Badesi, Italy;
2011-09-11
- 2011-09-17; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
C. Schwarzer, E. Mujagic, T. Zederbauer, H. Detz, Y. Yao, A. M. Andrews, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Two Dimensional Integration of Ring Cavity Surface Emitting Quantum Cascade Lasers";
Poster: International Conference on Physics of Semiconductor (ICPS),
Soul, Korea;
2010-07-25
- 2010-07-30; in: "AIP Conference Proceedings",
(2011),
49
- 51.
-
C. Schwarzer, E. Mujagic, T. Zederbauer, H. Detz, Y. Yao, A. M. Andrews, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Two Dimensional Integration of Ring Cavity Surface Emitting Quantum Cascade Lasers";
Talk: 15th International Conference on Narrow Gap Systems,
Blacksburg, USA;
2011-08-01
- 2011-08-05; in: "AIP Conference Proceedings",
(2011),
49
- 51.
-
T. Schwarzl, W. Heiss, G. Springholz, S. Gianordoli, G. Strasser, M. Aigle, H. Pascher:
"Mode Splitting and Lasing in Detuned Lead Salt Microcavity and Microdisk Resonances";
Poster: GMe Forum 2001,
Wien;
2001-04-05
- 2001-04-06; in: "Mode Splitting and Lasing in Detuned Lead Salt Mirocavity and Microdisk Resonances",
(2001),
ISBN: 3-901578-07-2;
197.
-
T. Schwarzl, W. Heiss, G. Springholz, S. Gianordoli, G. Strasser, M. Aigle, H. Pascher:
"Strongly detuned IV-VI microcabity and microdisk resonsnces: mode splitting and lasing";
Poster: International Conference on Physics of Semiconductor (ICPS),
Osaka;
2000-09-18
- 2000-09-22; in: "Proc. 25th Int. Conf. Phys. Semicond.",
Springer,
87
(2000),
677
- 678.
-
M. Seifner, M. Sistani, P. Pertl, F. Porrati, I. Zivadinovic, A. Lugstein, M. Huth, S. Barth:
"Growth and Characterization of Hyperdoped Germanium Nanorods and Nanowires";
Talk: 2018 MRS Fall Meeting & Exhibit,
Boston;
2018-11-25
- 2018-11-30; in: "2018 MRS Fall Meeting",
(2018),
Paper ID NM03.04.03,
1 pages.
-
N. Seliger, P. Habas, A. Köck, D. Pogany, E. Gornik:
"Backside-laser probing of transient heating in power VDMOSFET´s";
Talk: International Conference on Physics of Semiconductor (ICPS),
Berlin;
1996-07-21; in: "Proc. ISPS ´96",
(1996),
115
- 122.
-
N. Seliger, D. Pogany, C. Fürböck, P. Habas, E. Gornik, M. Stoisiek:
"A study of temperature distribution in SOI-smart power devices in transient conditions by optical interferometry";
Talk: European Solid-State Device Research Conference (ESSDERC),
Stuttgart;
1997-09-01; in: "Proc. ESSDERC ´97",
(1997),
512
- 515.
-
S. Senkader, G. Hobler:
"A kinetic model for precipitation of oxygen in silicon";
Talk: NATO ARW,
Dordrecht;
1996-07-01; in: "Early Stages of Oxygen-Precipitation in Silicon, NATO ARW Series",
Kluwer Academic,
(1996),
447
- 454.
-
S. Senkader, G. Hobler, Ch. Schmeiser:
"Modeling and simultation of oxygen precipitation in Si: Precipitate-point defect interactions and influence of hydrogen";
Talk: IEEE Conference,
Piscataway;
1996-07-01; in: "SISPAD`96",
(1996),
31
- 32.
-
M. Shawrav, N. Cazier, S. Waid, M. Schinnerl, H. D. Wanzenböck, S. Schmid:
"FIB investigations on FEBID based gold nanostructures";
Poster: 1st European FIB Network Workshop,
Graz, Austria;
2017-07-04
- 2017-07-05; in: "1st European FIB Network Workshop",
(2017),
107
- 108.
More information
-
M. Shawrav, M.-H. Chien, S. Schmid, H. D. Wanzenböck:
"Impact of purity and conductivity on plasmonic response of FEBID gold nanostructures";
Talk: Focused Electron Beam Induced Processing Workshop (FEBIP),
Modena;
2018-07-10
- 2018-07-13; in: "Book of Abstracts",
(2018),
26
- 27.
More information
-
M. Shawrav, M.-H. Chien, P. Taus, E. Bertagnolli, H. D. Wanzenböck, S. Schmid:
"An overview of in - situ and ex - situ purification strategies for FEBID gold nanostructures";
Talk: CELINA,
Porto, Portugal (invited);
2017-09-16; in: "4th annual meeting of the Chemistry for Electron-Induced Nanofabrication (CELINA), COST Action CM1301",
(2017),
29.
More information
-
M. Shawrav, M.-H. Chien, P. Taus, H. D. Wanzenböck, E. Bertagnolli, S. Schmid:
"Photothermal analysis of direct-write purified gold nanostructures with nanomechanical resonator";
Talk: 43rd International Conference on Micro and Nanoengineering (MNE),
Braga, Portugal;
2017-09-18
- 2017-09-22; in: "43rd International Conference on Micro and Nanoengineering (MNE)",
(2017),
1 pages.
More information
-
M. Shawrav, M.-H. Chien, P. Taus, H. D. Wanzenböck, S. Schmid:
"Photothermal analysis on direct-write nanostructures by nanomechanical scanning absorption microscopy";
Poster: 19th International Microscopy Congress IMC19,
Sydney;
2018-09-09
- 2018-09-14; in: "abstracts imc19",
(2018),
2 pages.
More information
-
M. Shawrav, M.-H. Chien, H. D. Wanzenböck, E. Bertagnolli, S. Schmid:
"Analysis of direct-write gold nanostructure-purification with nanomechanical scanning absorption microscopy";
Talk: nanoFIS 2017 - 3rd International Conference Functional Integrated nano Systems,
Graz;
2017-11-22
- 2017-11-24; in: "nanoFIS 2017",
(2017),
1.
More information
-
M. Shawrav, M.-H. Chien, H. D. Wanzenböck, E. Bertagnolli, S. Schmid:
"Novel fabrication and characterization approach for plasmonic nanostructures";
Poster: Microelectronic Systems Symposium (MESS18),
Vienna;
2018-04-12
- 2018-04-13; in: "MESS 18 Microelectronic Systems Symposium",
OVE,
91
(2018),
ISBN: 978-3-903249-01-1;
1 pages.
More information
-
M. Shawrav, M.-H. Chien, H. D. Wanzenböck, S. Schmid:
"Does gold purity affect plasmonic resonance? New route towards photothermal analysis of direct-write nanostructures";
Poster: 44th International Conference on Micro and Nanoengineering (MNE),
Copenhagen;
2018-09-24
- 2018-09-27; in: "44th International Conference on Micro and Nanoengineering (MNE)",
(2018),
1 pages.
More information
-
M. Shawrav, Z. Gökdeniz, H. D. Wanzenböck, E. Bertagnolli:
"In-situ controlled Focused Electron Beam Induced Etching of semiconductor nanowires";
Poster: CELINA,
bratislava Slovakia;
2015-05-06
- 2015-05-09; in: "Book of Abstracts",
(2015),
60.
-
M. Shawrav, J. Mika, H. D. Wanzenböck, Z. Gökdeniz, S. Waid, E. Bertagnolli:
"Chlorine based focused electron beam-induced surface patterning of semiconductor nanowi";
Talk: E-MRS Spring Meeting,
Lille, France;
2015-05-11
- 2015-05-15; in: "E-MRS Spring Meeting",
(2015).
-
M. Shawrav, P. Taus, H. D. Wanzenböck, Z. Gökdeniz, E. Bertagnolli:
"Direct deposition with pure gold nanostructures - Is it possible with FEBID?";
Poster: International Conference on Micro- and Nano-Engineering,
The Hague, The Netherlands;
2015-09-21
- 2015-09-24; in: "Programme",
(2015).
-
M. Shawrav, P. Taus, H. D. Wanzenböck, M. Schinnerl, A. Lugstein, M. Stöger-Pollach, S. Schwarz, A. Steiger-Thirsfeld, E. Bertagnolli:
"Gas assisted purification of Electron Beam Induced Deposited noble metal nanostructures - Challenges and Applications in nanoelectronics";
Talk: International Conference on Micro- and Nano Engineering (MNE),
Wien;
2016-09-19
- 2016-09-23; in: "42nd International Conference on Micro and Nano Engineering",
(2016),
80.
-
M. Shawrav, P. Taus, H. D. Wanzenböck, M. Schinnerl, M. Sistani, A. Lugstein, M. Stöger-Pollach, S. Schwarz, A. Steiger-Thirsfeld, E. Bertagnolli:
"Highly conductive & (almost) pure gold deposition without post treatment - A fairy tale has come true?";
Talk: Focused Electron Beam Induced Processing Workshop (FEBIP),
Wien;
2016-07-04
- 2016-07-08; in: "FEBIP 2016",
(2016),
106.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, E. Bertagnolli:
"3-dimenstional Processing and Imaging of Gold and Platinum Nanostructures with a SEM";
Poster: Microscopy Conference,
Regensburg, Deutschland;
2013-08-25
- 2013-08-30; in: "MC 2013 Proceedings",
(2013),
422
- 423.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, S. Wachter, E. Bertagnolli:
"Purification of FEBID gold nanostructures using oxygen plasma";
Poster: 18th International Microscopy Congress,
Prag;
2014-09-07
- 2014-09-12; in: "Proceedings",
(2014).
-
M. Shawrav, H. D. Wanzenböck, P. Taus, Z. Gökdeniz, E. Bertagnolli:
"Towards high purity FEBID gold nanostructures - a comparison of purification approaches";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
San Diego, USA;
2015-05-26
- 2015-05-29; in: "EIPBN",
(2015),
1
- 2.
-
M. Shawrav, H. D. Wanzenböck, P. Taus, S. Waid, Z. Gökdeniz, E. Bertagnolli:
"Focused electron beam induced surface reactions - from surface termination to etching";
Talk: CELINA,
Krakow, Polen;
2016-05-18
- 2016-05-20; in: "The 3rd CELINA Meeting",
(2016),
38.
-
M. Shrivastava, S. Bychikhin, D. Pogany, J. Schneider, S. Baghini, H. Gossner, E. Gornik, V. Ramgopal Rao:
"Filament study of STI type drain extended NMOS device using transient interferometric mapping";
Talk: IEEE International Electron Devices Meeting (IEDM),
Baltimore, USA;
2009-12-07
- 2009-12-09; in: "IEDM 09",
(2009),
417
- 420.
-
M. Shrivastava, S. Bychikhin, D. Pogany, J. Schneider, M. Shojaei, H. Gossner, E. Gornik, V. Ramgopal Rao:
"On the differences between 3D filamentation and failure of N & P type drain extended MOS devices under ESD conditions";
Talk: International Reliability Physics Symposium,
Anaheim, California;
2010-05-02
- 2010-05-06; in: "Proc. Int. Reliability Physics Symposium",
(2010),
480
- 484.
-
M. Shrivastava, C. Russ, H. Gossner, S. Bychikhin, D. Pogany, E. Gornik:
"ESD Robust DeMOS Devices in Advanced CMOS Technologies";
Talk: EOS/ESD Symposium,
Anaheim, USA;
2011-09-12
- 2011-09-15; in: "Eos/esd Symposium",
(2011),
401
- 410.
-
J. Silvano de Sousa, H. Detz, P. Klang, E. Gornik, G. Strasser, J. Smoliner:
"Rashba Effect in NonMagnetic InGaAsGaAsSb Resonant Tunneling Diodes Enhanced By Transverse Magnetic Field";
Talk: 15th International Conference on Narrow Gap Systems,
Blacksburg, USA;
2011-08-01
- 2011-08-05; in: "AIP Conference Proceedings",
(2011),
42
- 45.
-
M. Sistani, M. Bartmann, P. Staudinger, F. Brunbauer, S. Kral, M. Luong, M. den Hertog, E. Robin, E. Bertagnolli:
"Carrier Transport and Photonics in Monolithic Al-Ge-Al Nanowire Heterostructures";
Talk: EMRS Spring Meeting 2018,
Straßburg (invited);
2018-06-18
- 2018-06-22; in: "Web Tagungsband EMRS Spring 2018",
(2018),
Paper ID G.4.1,
1 pages.
-
M. Sistani, R Böckle, M. Luong, M. den Hertog, A. Lugstein, W. Weber:
"Programmable negative differential Resistance in Ge Nanowire Transistors";
Talk: Nanowire Week,
Chamonix;
2022-04-25
- 2022-04-29; in: "Nanowire Week 2022",
(2022),
64.
-
M. Sistani, A. Lugstein, K. Eysin, M. Bartmann, R Böckle, W. Weber:
"Gate-Tunable Negative Differential Resistance in Next-Generation Ge Nanodevices and their Performance Metrics";
Talk: European Congress and Exhibition on Advanced Materials and Processes (EUROMAT),
VIRTUEL;
2021-09-13
- 2021-09-17; in: "EUROMAT 2021",
(2021),
Paper ID 647,
1 pages.
-
M. Sistani, P. Staudinger, E. Bertagnolli, A. Lugstein:
"Ultra-scaled Quantum Ballistic Ge Nanowire Photodetector";
Talk: Nanowire Week 2018,
Hamilton;
2018-06-11
- 2018-06-15; in: "Nanowire Week 2018",
(2018).
-
J. Smoliner, B. Basnar, S. Golka, E. Gornik, S. Harasek, E. Bertagnolli, B. Löffler, M. Schatzmayer, H. Enichlmair:
"Quantitaive scanning capacitance microscopy on p-doped Si-Multilayers";
Talk: STM Conference,
Vancouver;
2001-07-15
- 2001-07-20; in: "Quantitaive scanning capacitance microscopy on p-doped Si-Multilayers",
(2001),
171.
-
Z. Song, M. Sistani, L. Wind, A. Lugstein:
"CMOS-compatible Nanometre-Scale Germanium Photodetector with Tunable-Polarity Sensitivity";
Talk: European Congress and Exhibition on Advanced Materials and Processes (EUROMAT),
Virtuell;
2021-09-13
- 2021-09-17; in: "Euromat 2021",
(2021),
Paper ID 1038,
1 pages.
-
T.P. Sosin, W. Treciakowski, M. Leszczynski, G. Strasser:
"Biaxial Deformation Effects in Quantum Wells";
Talk: International Conference on Physics of Semiconductor (ICPS),
Berlin;
1996-07-21; in: "Proc. ICPS23",
(1996),
1.
-
P. Souza, T. Gebhard, F.F. Schrey, G. Strasser, K. Unterrainer, M. Pires, S. Landi, J.M. Villas-Boas, N. Studart:
"Polarization dependence of photocurrent in InAs/InGaAs/InP quantum-dot infrared photodetectors";
Talk: Sociedade Brasileira de Microeltronica 2006 (SBCCI 2006),
Ouro Preto, Brazil;
2006-08-28; in: "Tagungsband",
(2006),
1
- 7.
-
C. Spiel, S. Abermann, E. Bertagnolli, G. Rupprechter:
"New model catalysts prepared by atomic layer deposition (ALD)";
Talk: 9th Pannonian International Symposium on Catalysis,
Strbske Pleso, Slowakei;
2008-09-08
- 2008-09-12; in: "9th Pannonian International Symposium on Catalysis",
Slovak University of Technology,
Bratislava
(2008),
ISBN: 978-80-227-2923-9;
53
- 54.
-
D. Stehr, C. Metzner, M. Helm, T. Roch, G. Strasser:
"Impurity states in quantum wells and superlattieces and their influence on the intersubband spectra";
Talk: International Conference on Intersubband Transitions in Quantum Wells,
Cape Cod, USA;
2005-09-11
- 2005-09-16; in: "Proceedings of the 8th International Conference on Intersubband Transitions in Quantum Wells",
(2005).
-
D. Stehr, M. Wagner, S. Winnerl, M. Helm, A. M. Andrews, T. Roch, G. Strasser:
"Two-color pump-probe spectroscopy of electron dynamics in doped superlattices";
Poster: ITQW07,
Cumbria, U.K.;
2007-09-09
- 2007-09-14; in: "Proceedings of the ninth International Conference on Intersubband Transitions in Quantum Wells",
(2007),
16.
-
D. Stehr, S. Winnerl, M. Helm, A. M. Andrews, T. Roch, G. Strasser:
"Picosecond dynamics of interminiband transitions in doped GaAs/AlGaAs superlattices";
Talk: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Palazzone di Cortana, Italy;
2006-06-25
- 2006-06-30; in: "Programme and Abstracts",
(2006).
-
A. Steiger-Thirsfeld, B. Basnar, C. Tomastik, P. Pongratz, A. Lugstein:
"Characterization of carbonaceous contamination and the cleaning capability of atomic hydrogen during focused ion beam processing";
Poster: 18th International Microscopy Congress,
Prag;
2014-09-07
- 2014-09-12; in: "18th International Microscopy Congress Proceedings",
Czechoslovak Microscopy Society,
(2014),
ISBN: 978-80-260-6720-7;
Paper ID IT-13-P-2068,
2 pages.
-
S. Steiner, G. Rogl, H. Michor, J. Bursik, G. Giester, A. Grytsiv, P. Rogl, H. Detz:
"DFT simulation of the physical properties of the newly discovered Ti3Co5B2 -type novel borides Mn(3−x) {Rh,Ir}5B2 using HPC in addition to X-ray single crystal and TEM data evaluation";
Talk: Austrian-Slovenian HPC Meeting 2021 (ASHPC21),
online;
2021-05-31
- 2021-06-02; in: "Book of abstract ASHPC21",
(2021),
ISBN: 978-961-6980-77-7;
1 pages.
More information
-
W. Steinhögl, C.M. Engelhardt, G. Schindler, G. Steinlesberger:
"Modelling the Electrical Resistivity of sub 50 nm Copper Wires";
Talk: Workshop on Ultimate Integration of Silicon (ULIS),
München, Germany;
2002-03-04
- 2002-03-08; in: "Proceedings 3rd European Workshop on Ultimate Integration of Silicon",
(2002),
69.
-
G. Steinlesberger, C.M. Engelhardt, G. Schindler, J. Kretz, W. Steinhögl, E. Bertagnolli:
"Processing Technology for the Investigatin of sub-20 Nanometer Copper Damascene Interconnects";
Talk: Workshop on Ultimate Integration of Silicon (ULIS),
München, Germany;
2002-03-06
- 2002-03-08; in: "Proceedings 3rd European Workshop on Ultimate Integration of Silicon",
(2002),
61.
-
G. Steinlesberger, A. von Grasow, C.M. Engelhardt, G. Schindler, W. Hönlein, M. Holz, E. Bertagnolli:
"Copper Damascene Interconnects for the 65 nm Technology Node: A First Lood at the Reliability Properties";
Talk: IITC 2002,
San Francisco;
2002-06-01
- 2002-06-05; in: "Proceedings International Interconnect Technology Conference",
(2002),
265.
-
H. Stippel, S. Halama, G. Hobler, K. Wimmer, S. Selberherr:
"Adaptive Grid for Monte Carlo Simulation of Ion Implantation";
Talk: International Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits (NUPAD),
Seattle;
1992-05-31
- 1992-06-01; in: "Proceedings NUPAD IV",
(1992),
ISBN: 0-7803-0516-7;
231
- 236.
-
H. Stippel, G. Hobler, S. Selberherr:
"Three-Dimensional Simulation of Ion Implantation";
Talk: International Conference on Solid State and Integrated Circuit Technology (ICSICT),
Peking;
1992-10-18
- 1992-10-24; in: "Proceedings Solid State and Integrated Circuit Technology 92 Conference",
(1992),
703
- 705.
-
G. Strasser:
"Applied Quantum Mechanics: Sensing with Monolithically Integrated Quantum Cascade Devices";
Talk: 68. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Graz (invited);
2018-09-10
- 2018-09-13; in: "68. Jahrestagung der Österreichischen Physikalischen Gesellschaft",
(2018),
14.
-
G. Strasser:
"Beam shaping and coherence in quantum cascade lasers";
Talk: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf (invited);
2010-02-22
- 2010-02-26; in: "16th Interational Winterschool Mauterndorf",
(2010),
43.
-
G. Strasser:
"Coherence and beam shaping in Quantum Cascade Lasers";
Talk: SPIE Photonics West,
San Jose Convention Center, San Jose, California, USA (invited);
2009-01-24
- 2009-01-29; in: "Advance Technical Program",
(2009),
165.
-
G. Strasser:
"GaAs-based quantum cascade lasers";
Talk: 11th International Symposium "Nanostructures: Physics and Technology",
St. Petersburg, Russland (invited);
2003-06-23
- 2003-06-28; in: "Proc. of 11th Int. Symp. Nanostructures: Physics and Technology",
(2003),
ISBN: 5936340090;
6
- 7.
-
G. Strasser:
"III-Nitride Resonant tunneling diodes";
Talk: Monte Verita´summer School, Wide-banbgap semiconductor quantum structure,
Switzerland (invited);
2006-08-27
- 2006-09-01; in: "Proceedings of the Monte Verita´summer school, wide-bandgap semiconductor quantum structure",
(2006).
-
G. Strasser:
"Lineare und nichtlineare Effekte in Quantenkaskadenlasern";
Talk: Nano and Photonics,
Mauterndorf (invited);
2006-03-15
- 2006-03-17; in: "Tagungsunterlagen",
(2006),
7.
-
G. Strasser:
"Material systems for QC Devices: Design, Growth, and Fabrication";
Keynote Lecture: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge (invited);
2016-09-04
- 2016-09-09; in: "Digital digest",
(2016),
1 pages.
-
G. Strasser:
"MBE Growth of III-V Superlattices and Heterostructures";
Talk: 3rd Bratislava Days on Molecular Beam Epitaxy,
Bratislava;
1996-07-01; in: "Proc. Of 3rd Bratislava Days on Molecular Beam Epitaxy",
(1996),
1.
-
G. Strasser:
"Monolithic Integration of a Quantum Cascade System";
Talk: nanoFIS 2017 - 3rd International Conference Functional Integrated nano Systems,
Graz (invited);
2017-11-22
- 2017-11-24; in: "nanoFIS 2017",
(2017).
-
G. Strasser:
"Monolithic Quantum Cascade Sensor Systems";
Talk: The 2nd International Workshop on Magnetic Excitations in Semiconductors: Bridges to the Next Decade,
Buffalo (invited);
2018-07-13
- 2018-07-15; in: "The 2nd International Workshop on Magnetic Excitations in Semiconductors: Bridges to the Next Decade",
(2018),
34.
-
G. Strasser:
"Nonlinear effects in quantum cascade lasers";
Talk: 14th International Winterschool on New Developments in Solid State Physics,
Mauterndorf (invited);
2006-02-13
- 2006-02-17; in: "Book of Abstracts",
(2006),
34.
-
G. Strasser:
"Photonic Crystal QWIP structures";
Talk: ITQW,
Badesi, Italy (invited);
2011-09-11
- 2011-09-17; in: "The 11th International Conference on Intersubband Transitions in Quantum Wells (ITQW 2011)",
(2011).
-
G. Strasser:
"Quantum Cascade Lasers: Current Technology and Future Goals";
Talk: 12th International Conference on Narrow Gap Semiconductors,
Toulouse, France (invited);
2005-07-03
- 2005-07-07; in: "Quantum Cascade Lasers: Current Technology and Future Goals",
(2005),
292
- 299.
-
G. Strasser:
"Quantum Cascade Material Systems: Growth and Processing";
Talk: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore (invited);
2017-09-10
- 2017-09-15; in: "ITQW2017",
(2017).
-
G. Strasser:
"Vertical second-harmonic emission from QCLs";
Talk: 2nd International Workshop on Quantum Cascade Lasers,
Rosa Marina, Italy (invited);
2006-09-05
- 2006-09-10; in: "Abstract Book",
(2006),
80.
-
G. Strasser, A. M. Andrews, M. Austerer, A. Benz, G. Fasching, S. Golka, M. Nobile, C. Pflügl, T. Roch, S. Schartner, M. Schramböck, W. Schrenk, K. Unterrainer:
"Advanced Device Fabrication for High-Performance Cascade Lasers";
Talk: Physics of Intersubband Semiconductor Emitters Summer School (POISE),
Palazzone di Cortona, Italy (invited);
2006-06-25
- 2006-06-30; in: "Programme and Abstracts",
(2006).
-
G. Strasser, A. M. Andrews, P. Klang, S. Golka, M. Austerer, S. Schartner, M. Nobile, L. Hoffmann, W. Schrenk:
"Quantum Cascade Lasers: an Example for applied Nano-Technology";
Talk: Workshop der Österreichischen Netzwerke für Nanowissenschaften und Nanotechnologie,
Krems (invited);
2006-11-21
- 2006-11-22; in: "Workshop der Österreichischen Netzwerke für Nanowissenschaften und Nanotechnologie",
(2006),
58.
-
G. Strasser, A. M. Andrews, T. Roch, G. Fasching, A. Benz, S. Golka, M. Austerer, C. Pflügl, W. Schrenk, K. Unterrainer:
"Growth and Processing of GaAs quantum cascade lasers";
Talk: Spring Meeting 2006 EPS Condensed Matter Division and DPG Solid State Physics,
Dreseden, Deutschland (invited);
2006-03-26
- 2006-03-31; in: "AKF-Frühjahrstagung 2006",
(2006),
235.
-
G. Strasser, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk:
"InGaAs/GaAsSb/InP Quantum Cascade Lasers";
Talk: 41th Winter Colloquium on the Physics of Quantum Electronics,
Snowbird, Utah, USA (invited);
2011-01-02
- 2011-01-06; in: "PQE-2011",
(2011),
245.
-
G. Strasser, H. Detz, D. MacFarland, M. Potocek, S. Lancaster, T. Zederbauer, A. M. Andrews, W. Schrenk:
"Incorporation of B into BGaAs layers for strain engineering";
Poster: ICPS 2018,
Montpellier, France;
2018-07-29
- 2018-08-03; in: "Proceedings of the 34th International Conference on the Physics of Semiconductors",
(2018),
73.
-
G. Strasser, S. Gianordoli, L. Hvozdara, H. Bichl, K. Unterrainer, E. Gornik, P. Kruck, M. Helm, J.N. Heyman:
"GaAs/AlGaAs intersubband mid-infrared emitter";
Talk: Material Research Soc. Symposium,
Boston;
1998-07-01; in: "Material Research Soc. Symp. Proceeding",
(1998),
484;
165.
-
G. Strasser, S. Gianordoli, L. Hvozdara, K. Unterrainer, E. Gornik, P. Kruck, M. Helm:
"GaAs/AlGaAs Quantum Cascade Intersubband Emitter";
Talk: International Conference of the Physics of Semiconductors,
Jerusale;
1998-08-03; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
1.
-
G. Strasser, J. Hillbrand, H. Knötig, S. Dal Cin, R. Weih, A. M. Andrews, H. Detz, B. Schwarz:
"Frequency performance of intersubband detectors";
Talk: Online Conference - Photonics West 2021,
California (invited);
2021-03-06
- 2021-03-12; in: "Proceedings Volume 11700, Optical and Quantum Sensing and Precision Metrology",
(2021),
Paper ID 1170040,
1 pages.
More information
-
G. Strasser, C. Rauch, K. Kempa, E. Gornik:
"Ballistic Electron Transport in Semiconductor Superlattices";
Talk: IEEE Conference,
San Diego;
1997-09-01; in: "Proc. IEEE 24th Int. Symposium on Compound Semiconductors",
(1997),
267.
-
G. Strasser, B. Schwarz, A. Harrer, R. Szedlak, P. Reininger, T. Zederbauer, H. Detz, D. MacFarland, A. M. Andrews:
"Monolithic integration of quantum cascade lasers and detectors";
Talk: Photonics West 2018,
San Francisco (invited);
2018-01-27
- 2018-02-01; in: "Proc. SPIE 10536, Smart Photonic and Optoelectronic Integrated Circuits XX",
1053604
(2018),
321.
More information
-
G. Strasser, B. Schwarz, B. Hinkov, R. Szedlak, H. Detz, A. M. Andrews, W. Schrenk:
"On-chip and remote sensing with quantum cascade laser and detector systems";
Talk: SPIE Optics + Photonics 2018,
San Diego (invited);
2018-08-19
- 2018-08-23; in: "SPIE Optics + Photonics",
(2018),
90.
-
G. Strasser, B. Schwarz, R. Szedlak, A. Harrer, H. Detz, A. M. Andrews, T. Zederbauer, D. MacFarland, W. Schrenk:
"Quantum cascade detectors and monolithically integrated sensing devices";
Talk: FLAIR 2016,
Aix-les-Bains (invited);
2016-09-12
- 2016-09-16; in: "FLAIR 2016",
(2016),
165.
-
G. Strasser, R. Szedlak, M. Holzbauer, B. Schwarz, B. Hinkov, H. Detz, A. M. Andrews, W. Schrenk:
"QCL and ICL ring laser";
Talk: Photonics West 2019,
San Francisco (invited);
2019-02-02
- 2019-02-07; in: "Proceedings of SPIE",
(2019),
Paper ID 10939-60,
1 pages.
-
L. Stuchlikova, L. Harmatha, O. Csabay, G. Strasser:
"Deep Energy Levels in an AlGaAs/GaAs Heterostructure";
Talk: EDS 96,
Brno;
1996-07-01; in: "Proc. Electronic Devices and Systems Conference",
(1996),
1.
-
L. Stuchlikova, L. Harmatha, O. Csabay, L. Hvozdara, D. Buc, I. Thurzo, G. Strasser:
"Applications of Capacitance Measured Methods for Investigation of Electrical Propertiesof Quantum Well Structures";
Talk: 3rd Bratislava Days on Molecular Beam Epitaxy,
Bratislava;
1996-07-01; in: "Proc. of 3rd Bratislava Days on Molecular Beam Epitaxy",
(1996),
1.
-
R. Szedlak, A. M. Andrews, M. Holzbauer, A. Harrer, B. Schwarz, D. MacFarland, T. Zederbauer, H. Detz, W. Schrenk, G. Strasser:
"Spectroscopic Sensing with Ring Quantum Cascade Lasers";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2018-02-25
- 2018-03-02; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
118
- 119.
-
R. Szedlak, A. Harrer, M. Holzbauer, B. Schwarz, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared ring lasers for sensing applications";
Talk: 7th EPS-QEOD Europhoton Conference (EUROPHOTON 2016),
Wien;
2016-08-21
- 2016-08-26; in: "Europhysics Conference Abstract Volume 40 B",
(2016),
ISBN: 979-10-96389-00-1;
52.
-
R. Szedlak, A. Harrer, M. Holzbauer, B. Schwarz, J.P. Waclawek, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Laser Surface Emission and Detection from the same Quantum Cascade Element";
Poster: 33rd International Conference on the Physics of Semiconductors (ICPS2016),
Beijing, China;
2016-07-31
- 2016-08-05; in: "Proceedings of the ICPS 2016",
(2016),
658.
-
R. Szedlak, A. Harrer, M. Holzbauer, B. Schwarz, J.P. Waclawek, H. Moser, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Compact mid-IR sensors based on bi-functional and commutable semiconductor lasers and detectors on the same chip";
Talk: 3rd International WORKshop on Infrared Technologies,
Olching (invited);
2016-11-07
- 2016-11-08; in: "digital digest",
(2016),
2 pages.
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, H. Moser, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Highly Integrated Gas Sensors based on Bi-functional Quantum Cascade Structures";
Talk: CLEO 2016,
San Jose;
2016-06-05
- 2016-06-10; in: "CLEO:2016 Laser Science to Photonic Applications",
(2016),
234.
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, J.P. Waclawek, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"On-Chip Quantum Cascade Laser/Detector System for Remote Gas Sensing";
Talk: SCIX 2017,
Reno (invited);
2017-10-08
- 2017-10-13; in: "SCIX Conference",
(2017),
56.
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, J.P. Waclawek, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Photonic Gas Sensing with Commutable Laser and Detector on the same Chip";
Talk: ICAVS9,
Victoria;
2017-06-11
- 2017-06-16; in: "digital digest",
(2017),
Paper ID 31.4,
2 pages.
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, J.P. Waclawek, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Remote gas sensing with commutable quantum cascade laser and detector on the same Chip";
Talk: International Quantum Cascade Lasers School and Workshop (IQCLSW 2016),
Cambridge (invited);
2016-09-04
- 2016-09-09; in: "Technical Digest",
(2016),
Paper ID 45,
2 pages.
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, J.P. Waclawek, E. Tütüncü, V. Kokoric, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Mizaikoff, B. Lendl, G. Strasser:
"Commutable Monolithic QC Laser/Detector System for Remote Sensing";
Talk: ITQW 2017 14th International Conference on Intersubband Transitions in Quantum Wells,
Singapore;
2017-09-10
- 2017-09-15; in: "ITQW2017",
(2017).
-
R. Szedlak, T. Hisch, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, S. Rotter, G. Strasser:
"Creation of Orbital Angular Momentum using an On-chip Dielectric Metamaterial for Beam Shaping";
Poster: 33rd International Conference on the Physics of Semiconductors (ICPS2016),
Beijing, China;
2016-07-31
- 2016-08-05; in: "Proceedings of the ICPS 2016",
(2016),
659.
-
R. Szedlak, T. Hisch, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, S. Rotter, G. Strasser:
"On-chip Generation of Infrared Orbital Angular Momentum Beams using a Dielectric Metamaterial";
Talk: CLEO 2016,
San Jose;
2016-06-05
- 2016-06-10; in: "CLEO:2016 Laser Science to Photonic Applications",
(2016),
181.
-
R. Szedlak, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Using the far field of ring lasers to characterize their whispering gallery modes";
Talk: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
2015-09-01
- 2015-09-04; in: "Gemeinsame Jahrestagung in Wien - ÖPG Tagungsband",
(2015),
39.
-
R. Szedlak, M. Holzbauer, B. Schwarz, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Quantum Cascade Lasers: Versatile Light Emission and Applications in Spectroscopic Sensing";
Poster: Austrian MBE Workshop 2017,
Wien;
2017-09-28
- 2017-09-29; in: "Austrian MBE Workshop",
(2017),
53.
-
R. Szedlak, H. Knötig, B. Hinkov, R. Weih, S. Höfling, W. Schrenk, J. Koeth, J.P. Waclawek, B. Lendl, G. Strasser:
"Continuous-Wave Ring lnterband Cascade Lasers for Spectroscopic Sensing";
Talk: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
55.
-
V. Tamosiunas, S. Anders, T. Müller, W. Schrenk, G. Strasser, K. Unterrainer:
"THz quantum cascade lasers: From quantum wells to quantum boxes";
Talk: Colorado Meeting on Fundamental Optical Processes in Semiconductors (FOBS),
Colorado, USA (invited);
2004-08-08
- 2004-08-13; in: "Proceedings for the Colorado Meeting on Fundamental Optical Processes in Semiconductors",
(2004),
1.
-
V. Tamosiunas, G. Fasching, J. Darmo, J. Kröll, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, K. West, L. Pfeiffer, F. Capasso:
"Magnetic quantization in terahertz Quantum cascade lasers";
Poster: 13th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
2004-02-15
- 2004-02-20; in: "Book of Abstracts",
(2004),
120.
-
V. Tamosiunas, Z. Kancleris, M. Dagys, R. Simniskis, M. Tamosiuniene, G. Valusis, G. Strasser, K. Unterrainer:
"Finite-difference time-domain simulation of mid- and far-infrared quantum cascade lasers";
Talk: 12th International Symposium on Ultrafast Phenomena in Semiconductors,
Vilnius, Lithuania;
2004-08-22
- 2004-08-25; in: "Book of Abstracts",
(2004),
ISBN: 9986-9284-4-3;
24.
-
V. Tamosiunas, R. Zobl, G. Fasching, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, L. Pfeiffer, K. West, F. Capasso:
"Magnetic modulation of THz quantum cascade lasers";
Talk: 12th International Conference on Terahertz Electronics,
Karlsruhe, Deutschland;
2004-09-27
- 2004-10-01; in: "IEEE Conference Digest of the 2004 IRMMW 2004/THz 2004 04EX857",
(2004),
ISBN: 0-7803-8490-3;
541
- 542.
-
M. Tapajna, K. Cico, J. Kuzmik, G. Pozzovivo, D. Pogany, S. Abermann, E. Bertagnolli, J. Carlin, N. Grandjean, K. Fröhlich:
"Evaluation of the interface state Density on Ni/ZrO2/InAlN/GaN MOS contacts";
Poster: Conference of Nitride Semiconductors (ICNS),
Montreux, Switzerland;
2008-10-06
- 2008-10-10; in: "Abstracts",
(2008),
314
- 315.
-
M. Tapajna, K. Cico, J. Kuzmik, G. Pozzovivo, D. Pogany, J. Carlin, N. Grandjean, K. Fröhlich:
"Characterization of Semiconductor/Oxide Interface States in the Al2O3/InAlN/GaN MOS structures";
Talk: 32nd Workshop on Compound Semiconductor Devices and Integrated Circuits,
Leuven, Belgium;
2008-05-18
- 2008-05-21; in: "abstract book",
(2008),
117
- 118.
-
P. Taus, M. Shawrav, D. Belic, H. D. Wanzenböck, E. Bertagnolli:
"Purification of Electron Beam Induced Deposited Gold Nanostructures";
Poster: CELINA,
bratislava Slovakia;
2015-05-06
- 2015-05-09; in: "Book of Abstracts",
(2015),
61.
-
P. Taus, H. D. Wanzenböck, M. Shawrav, S. Tiefenbacher, H. Hoffmann, E. Bertagnolli:
"Direct Writing of Noble Metals as a Potential Tool for Biosciences";
Poster: Focused Electron Beam Induced Processing Workshop (FEBIP),
Wien;
2016-07-04
- 2016-07-08; in: "FEBIP 2016",
(2016),
230.
-
B. Terreault, M. Chicoine, N. Desrosiers, A. Giguere, G. Hobler, O. Moutanabbir, G. Ross, F. Schiettekatte, P. Simpson, T. Zahel:
"Isotope effects in low-energy ion-induced splitting";
Talk: Meeting of the Electrochemical Society, Silicon-on-Insulator Technology and Devices XII,
Quebec City, Canada (invited);
2005-05-15
- 2005-05-20; in: "Silicon-on-Insulator Technology and Devices XII",
(2005),
155
- 166.
-
J.-P. Teyssier, R. Sommet, D. Pogany, J. Kuzmik, C. Gaquière:
"Thermal measurement of microwave transistors and MMIC within TARGET NoE";
Poster: Target Days (TARGET),
Frascati (Rome), Italy;
2007-12-17
- 2007-12-18; in: "Proc. Target Days 2007",
(2007),
67
- 71.
-
R. Thalhammer, C. Fürböck, N. Seliger, G. Deboy, E. Gornik, G. Wachutka:
"Internal characterization of IGBTs using the backside laser probing technique";
Talk: ISPSD,
Boston;
1998-10-01; in: "Proc. ISPSD ´98",
(1998),
199
- 202.
-
R. Thalhammer, C. Fürböck, N. Seliger, E. Gornik, G. Wachutka:
"Validation and calibration of Electrothermal Device Models Using Infrared Laser Probing Techniques";
Talk: MSM,
Santa Clara;
1998-11-02; in: "Proc. MSM ´98",
(1998),
1.
-
D Theiner, S. Schönhuber, M. A. Kainz, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Quantum Cascade Laser Based Terahertz Imaging";
Talk: 10. THz - Young Scientists Meeting,
Frankfurt, Germany;
2019-03-31
- 2019-04-01; in: "Program of the 10. THz - Young Scientists Meeting",
(2019).
-
D Theiner, S. Schönhuber, M. A. Kainz, B. Limbacher, A. M. Andrews, H. Detz, G. Strasser, K. Unterrainer:
"Three-Dimensional Terahertz Tomography using Quantum Cascade Lasers";
Poster: 20th International Winterschool Mauterndorf 2018,
Mauterndorf;
2018-02-25
- 2018-03-02; in: "20th International Winterschool Mauterndorf",
(2018),
124
- 125.
-
Y. Todorov, A. M. Andrews, I. Sagnes, R. Collombelli, P. Klang, G. Strasser, C. Sirtori:
"Intersubband polaritons in the THz Frequency Range";
Talk: ITQW,
Montreal, Canada;
2009-09-06
- 2009-09-11; in: "Abstract Book",
(2009),
76
- 77.
-
J. Trommer, M. Simon, S. Slesazeck, W. Weber, T. Mikolajick:
"Eliminating Charge Sharing in Clocked Logic Gates on the Device Level Employing Transistors with Multiple Independent Inputs";
Talk: European Solid-State Device Research Conference (ESSDERC),
krakow, Polen;
2019-09-23
- 2019-09-26; in: "IEEE ESSDERC Proceedings",
(2019),
134
- 137.
-
J. Ulrich, G. Strasser, K. Unterrainer:
"Terahertz-Quantum-Cascade Emitters: Interwell Versus Intrawell Tansitions";
Talk: CLEO Europe 2000,
Nice, France;
2000-09-10
- 2000-09-15; in: "CLEO Europe/IQEC 2000 Technical Digest",
(2000),
86.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer, E. Gornik:
"Band Structure Engineering for Terahertz Quantum Cascade Lasers";
Poster: GMe Forum 2001,
Wien;
2001-04-05
- 2001-04-06; in: "Band Sturcture Engineering for Terahertz Quantum Cascade Lasers",
(2001),
ISBN: 3-901578-07-2;
151.
-
J. Ulrich, R. Zobl, G. Strasser, K. Unterrainer:
"Terahertz emission from semiconductor nanostructures";
Talk: NATO Advanced Research Workshop "Terahertz Sources and Systems",
Cheteau de Banas;
2002-06-21
- 2002-06-28; in: "Terahertz-Sources-and-Systems",
(2002),
115
- 124.
-
J. Ulrich, R. Zobl, K. Unterrainer, G. Strasser, E. Gornik, K.D. Maranowski, A.C. Gossard:
"Far-Infrared Electroluminescence in Parabolic Quantum Wells";
Talk: Aktuelle Entwicklungen der Mikroelektronik,
Bad Hofgastein;
1999-03-03
- 1999-03-06; in: "Current developments of microelectronics",
(1999),
ISBN: 3-901578-04-8;
127.
-
K. Unterrainer:
"THz detectors based on Intersubband Transitions in Quantum Wells";
Talk: Workshop on THz-Electronics,
Köln;
1995-06-12; in: "Proc. Of the 3rd Workshop on THz-Electronics",
(1995),
1.
-
K. Unterrainer, R. Bratschitsch, T. Müller, R. Kersting, J.N. Heyman, G. Strasser:
"Time domain THz spectroscopy of semiconductor quantum structures";
Talk: International Conference on Physics of Semiconductor (ICPS),
Osaka (invited);
2000-09-18
- 2000-09-22; in: "Proc. 25th Int. Conf. Phys. Semicond.",
Springer,
87
(2001),
579.
-
K. Unterrainer, J. Darmo, J. Kröll, T. Müller, G. Strasser, T. Le, A. Stingl:
"Cavity enhanced THz generation";
Talk: Photonics West,
San Jose, CAL, USA (invited);
2004-01-24
- 2004-01-29; in: "Technical Summary Digest",
(2004),
401.
-
K. Unterrainer, C. Deutsch, M. Brandstetter, M. Krall, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"High Power THz Quantum Cascade Lasers Based on Novel Materials and Designs";
Talk: IEEE Photonics Conference,
San Diego (invited);
2014-10-12
- 2014-10-16; in: "IPC 2014",
(2014).
-
K. Unterrainer, B.J. Keay, M.C. Wanke, S.J. Allen, D. Leonard, G. Medeiros-Ribeiro, U. Bhattacharya, M.J.W. Rodwell:
"Observation of Shapiro steps and direct evidence of Bloch oscillations in semiconductor superlattices";
Talk: International Symposium on Compound Semiconductors (ISCS),
St. Petersburg;
1997-09-23
- 1997-09-27; in: "Proceedings of 23rd Intern. Symposium on Compound Semiconductors",
(1997),
729
- 734.
-
K. Unterrainer, B.J. Keay, M.C. Wanke, S.J. Allen, D. Leonard, G. Medeiros-Ribeiro, U. Bhattacharya, M.J.W. Rodwell:
"Strong Terahertz-Photocurrent Resonances in Miniband Superlattices at the Bloch Frequency";
Talk: Hot Carriers in Semiconductors,
New York;
1996-09-01; in: "Proc. Hot Carriers in Semiconductors",
(1996),
135.
-
K. Unterrainer, R. Kersting, G. Strasser, J.N. Heyman, K.D. Maranowski, A.C. Gossard:
"Few Cycle THz spectroscopy of nanostructures";
Talk: International Conference of the Physics of Semiconductors,
Jerusalem;
1998-08-03; in: "Proc. 24th Intern. Conference of the Physics of Semiconductors",
(1998),
1.
-
K. Unterrainer, T. Müller, J. Darmo, G. Strasser:
"Few-cycle THz generation and spectroscopy of nanostructures";
Talk: The 28th Workshop on Compound Semiconductor Devices and Integrated Circuits held in Europe,
Smolenice, Slovakia (invited);
2004-05-17
- 2004-05-19; in: "WOCSDICE 2004",
(2004),
ISBN: 80-227-2050-x;
117.
-
K. Unterrainer, T. Müller, F. Schrey, G. Fasching, C. Pflügl, G. Strasser:
"Interlevel dynamics in semiconductor nanostructures";
Talk: 13th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria (invited);
2004-02-15
- 2004-02-20; in: "Book of Abstracts",
(2004),
40.
-
K. Unterrainer, W. Parz, T. Moldaschl, A. Benz, G. Fasching, A. M. Andrews, G. Strasser:
"Nanostructures for Novel Quantum Cascade Structures";
Keynote Lecture: DPG Spring Meeting,
Regensburg, Deutschland (invited);
2010-03-21
- 2010-03-26; in: "Verhandlungen der Deutschen Physikalischen Gesellschaft",
(2010),
ISSN: 0420-0195;
212.
-
K. Unterrainer, J. Ulrich, R. Zobl, G. Strasser, E. Gornik:
"THz sources based on semiconductor nanostructures";
Talk: 8th International Conference on THz-Electronics,
Darmstadt (invited);
2000-09-28
- 2000-09-29; in: "Proceeding of the 8th Int. Conf. on Terahertz Electronics",
(2000),
37.
-
S. Vitanov, V. Palankovski, G. Pozzovivo, J. Kuzmik, R. Quay:
"Systematical Study of InAlN/GaN Devices by Numerical Simulation";
Talk: European Workshop on Heterostructure Technology,
Venice;
2008-11-03
- 2008-11-05; in: "HETECH 2008 Book of Abstracts",
(2008),
ISBN: 978-88-6129-296-3;
159
- 160.
-
R. von Criegern, F. Jahnel, R. Lange-Gieseler, P. Pearson, G. Hobler, A. Simionescu:
"Vertification of "lateral SIMS" ...";
Talk: 4th Int. Workshop on the Measurement...,
Berlin;
1997-07-01; in: "Proc. 4th Int. Workshop on the Measurement, Characterization and Modelling...",
(1997),
22.1
- 22.11.
-
D. Wacht, M. David, B. Hinkov, B. Lendl:
"A Mesoporous Zirconia Coating for Sensing Applications using ATR-FTIR Spectroscopy";
Poster: ICAVS11,
Krakau;
2021-08-23
- 2021-08-26; in: "11th International Conference on advanced vibrational spectroscopy",
(2021),
119.
-
S. Wachter, D.K Polyushkin, O. Bethge, A. Lugstein, T. Müller:
"Logic circuits in CVD-grown 2D MoS2";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
2016-02-21
- 2016-02-26; in: "Technical Digest",
(2016),
Paper ID P 3.2.12,
2 pages.
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"A microprocessor based on a two-dimensional semiconductor";
Poster: Graphene 2018,
Dresden, Germany;
2018-06-26
- 2018-06-29; in: "Abstracts of the 8th edition of the largest European Event in Graphene and 2D Materials",
(2018).
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"A microprocessor based on a two-dimensional semiconductor";
Talk: Graphene Week 2018,
San Sebastian, Spain;
2018-09-10
- 2018-09-14; in: "Book of Abstracts",
(2018),
1
- 2.
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"A microprocessor based on a two-dimensional semiconductor";
Poster: 20th International Winterschool Mauterndorf 2018,
Mauterndorf;
2018-02-25
- 2018-03-02; in: "20th International Winterschool Mauterndorf",
(2018),
1
- 2.
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"Implementation of a microprocessor using a two-dimensional semiconductor";
Talk: Graphene 2017,
Barcelona, Spain;
2017-03-28
- 2017-03-31; in: "Abstracts of the 7th edition of the largest European Event in Graphene and 2D Materials",
(2017).
-
P.-J. Wagner, B. Kaczer, A. Scholten, H. Reisinger, S. Bychikhin, D. Pogany, L.K.J. Vandamme, T. Grasser:
"On the Correlation Between NBTI, SILC, and Flicker Noise";
Talk: IEEE International Integrated Reliability Workshop,
California;
2012-10-14
- 2012-10-18; in: "IEEE International Integrated Reliability Workshop Final Report",
(2012),
60
- 64.
-
S. Waid, S. Kutkurezovic, H. D. Wanzenböck, E. Bertagnolli, M. Mühlberger, R. Schöftner:
"Curved And Sloped Nil Stamps Fib As Versatile Approach Towards Complex 3d-Nil Stamps";
Poster: 9th International Conference on Nanoimprint and Nanoprint,
Kopenhagen;
2010-10-13
- 2010-10-15; in: "9th International Conference on Nanoimprint and Nanoprint",
(2010).
-
S. Waid, H. D. Wanzenböck, E. Bertagnolli, M. Mühlberger, R. Schöftner:
"Impact Of The Stamp Sidewall-Inclination On The Replication Of Structures";
Poster: 9th International Conference on Nanoimprint and Nanoprint,
Kopenhagen;
2010-10-13
- 2010-10-15; in: "9th International Conference on Nanoimprint and Nanoprint",
(2010).
-
S. Waid, H. D. Wanzenböck, G. Hobler, T. Zahel, E. Bertagnolli, M. Mühlberger, R. Schöftner:
"Topography Extraction Of 3d Structures Through Afm Of Nanoimprints";
Talk: 9th International Conference on Nanoimprint and Nanoprint,
Kopenhagen;
2010-10-13
- 2010-10-15; in: "9th International Conference on Nanoimprint and Nanoprint",
(2010).
-
M.C. Wanke, A.G. Markelz, K. Unterrainer, S.J. Allen, R. Bhatt:
"Third Harmonic Generation in a GaAs/AlGaAs Superlattice in the Bloch Oscillator regime";
Talk: Hot Carriers in Semiconductors,
New York;
1996-09-01; in: "Proc. Hot Carriers in Semiconductors",
(1996),
161.
-
C. Wanzenböck, E. Bertagnolli, J. Brenner, H. Störi:
"Effects of gas phase composition on focused ion beam induced surface deposition";
Poster: Meeting of the Electrochemical Society (ECS),
Washington D. C., USA;
2001-03-25
- 2001-03-30; in: "Effects of gas phase composition on focused ion beam induced surface deposition",
(2001),
392.
-
C. Wanzenböck, E. Bertagnolli, U. Grabner, G. Hammer, P. Pongratz:
"Structure imvestigation fo FIB-prepared microchips using transmission electron microscopy (TEM)";
Poster: Informationstagung Mikroelektronik (ME),
Wien;
2001-10-10
- 2001-10-11; in: "Structure imvestigation fo FIB-prepared microchips using transmission electron microscopy (TEM)",
(2001),
325.
-
H. D. Wanzenböck, C. Almeder, C Pacher, E. Bertagnolli, e. Bogner, M. Wirth, F. Gabor:
"Cell Growth on Prestructured Microelectronic Semiconductor Materials";
Poster: MRS Fall Meeting,
Boston, USA;
2003-12-01
- 2003-12-05; in: "Proceedings if the Material Research Society Symposium on Architecture and Application of Biomaterials and Biomolecular Materials",
(2003),
369
- 375.
-
H. D. Wanzenböck, B. Eichinger, A Gruen, M. Karner, K. Dominizi, P. Hagl, J. Wissenwasser, E. Bertagnolli:
"Express Pattern Fabrication - Single Step processing by Direct-write Deposition";
Poster: MRS Fall Meeting,
Boston, USA;
2003-12-01
- 2003-12-05; in: "Proceedings of the Material Research Society Symposium on Nontraditional Approaches to Patterning",
(2003),
133
- 135.
-
H. D. Wanzenböck, A. Exler, A. Brezina, J. Mika, E. Bertagnolli, E. Engleder, F. Gabor, M. Wirth:
"In vitro characterization of human epithelial tissue utilizing a microelectronic impedance sensor with microfluidic medium supply";
Poster: Annual Meeting of the Austrian Neuroscience Association,
Wien;
2013-09-16
- 2013-09-19; in: "Intrinsic Activity, 2013",
(2013),
1.
-
H. D. Wanzenböck, A. Exler, J. Mika, E. Bertagnolli, E. Engleder, M. Wirth, F. Gabor:
"Real-time Monitoring of Lesion Healing by Impedance Spectrometry on Chip";
Poster: MEA Meeting 2014 - 9th Intern. Meeting on Substrate-Integrated Microelectrode Arrays,
Reutlingen;
2014-07-01
- 2014-07-04; in: "Proceedings MEA Meeting 2014",
(2014),
133
- 134.
-
H. D. Wanzenböck, M. Fischer, G. Hochleitner, W. Brezna, J. Smoliner, E. Bertagnolli:
"High quality Silicon Dioxide by EBID";
Poster: First International Workshop on Electron Beam Induced Deposition,
Delft, Niederlande;
2006-06-25
- 2006-06-27; in: "First International Workshop on Electron Beam Induced Deposition",
(2006),
24
- 25.
-
H. D. Wanzenböck, M. Fischer, S. Müller, E. Bertagnolli:
"Custom-tailored microfluidic devices and nanoscaled actuators - on the fast track";
Talk: 3rd IEEE Conference on Sensors (IEEE Sensors 2004),
Wien, Österreich;
2004-10-24
- 2004-10-27; in: "Proceedings of the IEEE Sensors",
(2005),
ISBN: 0-7803-8692-2;
227
- 228.
-
H. D. Wanzenböck, M. Gavagnin, M. Shawrav, P. Taus, M. Stöger-Pollach, E. Bertagnolli:
"FEBID of Fe and Co nanopillars - A versatile approach for high aspect ratio and low momentum magnetic tips for magnetic force microscopy";
Poster: CELINA,
bratislava Slovakia;
2015-05-06
- 2015-05-09; in: "Book of Abstracts",
(2015),
63.
-
H. D. Wanzenböck, M. Gavagnin, S. Wachter, M. Shawrav, M. Stöger-Pollach, A. Persson, K. Gunnarsson, P. Svedlindh, E. Bertagnolli:
"Direct-write Deposition of Magnetic Nanowires in a Scanning Electron Microscope - A new nanofabrication route for nanomagnetic logic applications";
Talk: 18th International Microscopy Congress,
Prag;
2014-09-07
- 2014-09-12; in: "IMC 2014 Proceedings",
(2014),
ISBN: 978-80-260-6721-4.
-
H. D. Wanzenböck, S. Harasek, H. Langfischer, A. Lugstein, E. Bertagnolli, M. Gritsch, H. Hutter, C. Tomastik, J. Brenner, H. Störi:
"Local Deposition of Dielectrics for the sub-um range";
Talk: International VLSI Multilevel Interconnection Conference (VMIC),
Santa Clara, CA;
2000-06-27
- 2000-06-29; in: "Proceeding 17th Inernational VLSI Multilevel Interconnection Conference",
(2000),
127
- 142.
-
H. D. Wanzenböck, G. Hochleitner, J. Mika, M. Shawrav, E. Bertagnolli:
"Insights in precursor flux distribution on the sample surface - Is the nozzle setup really";
Talk: Focused Electron Beam Induced Processing Workshop (FEBIP),
Frankfurt;
2014-07-22
- 2014-07-24; in: "5th Workshop on Focused Electron Beam Induced Processing - Program FEBIP 2014",
(2014),
50.
-
H. D. Wanzenböck, H. Langfischer, E. Bertagnolli, H. Störi, M. Gritsch, H. Hutter:
"Material and Interface Characterisation of Locally Deposited Dielectrics and Metals with a focused Ion Beam (FIB)";
Poster: 47th International Symposium of the American Vacuum Society,
Boston, USA;
2000-10-02
- 2000-10-06; in: "47th International Symposium of the American Vacuum Society",
(2000),
227.
-
H. D. Wanzenböck, B. Lendl, E. Bertagnolli:
"Custom-tailored microfluidics in transparent CaF2";
Poster: International Conference on Ion Beam Modification of Materials (IBMM),
Taormina, Italy;
2006-09-18
- 2006-09-22; in: "15th Intl. Conference on ion Beam Modification of Materials",
(2006).
-
H. D. Wanzenböck, J. Mika, M. Shawrav, M. Gavagnin, B. Ismail, C. Zeiner, A. Lugstein, M. Stöger-Pollach, E. Bertagnolli:
"Electron beam induced surface modification of semiconductor nanowires in a chlorine environment - A new route to electrical tailoring of nanodevices";
Poster: 18th International Microscopy Congress,
Prag;
2014-09-07
- 2014-09-12; in: "18th International Microscopy Congress Proceedings",
(2014),
ISBN: 978-80-260-6721-4.
-
H. D. Wanzenböck, M. Reichenpfader, E. Bertagnolli, M. Shawrav, M. Stöger-Pollach:
"Magnetic Nanorings made by FEBID";
Poster: Focused Electron Beam Induced Processing Workshop (FEBIP),
Modena;
2018-07-10
- 2018-07-13; in: "Book of Abstract",
(2018),
109
- 110.
More information
-
H. D. Wanzenböck, M. Reichenpfader, M. Gavagnin, M. Shawrav, E. Bertagnolli:
"The scanning electron microscope as nanofactory -Direct-write deposition of nanomagnets";
Talk: 19th International Microscopy Congress IMC19,
Sydney;
2018-09-09
- 2018-09-14; in: "IMC19",
(2018),
2 pages.
More information
-
H. D. Wanzenböck, M. Shawrav, E. Bertagnolli, S. Schmid:
"Direct-write deposition of pure gold nanostructures - new possibilities and new challenges";
Talk: 61st International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN 2017),
Orlando, FL, USA;
2017-05-30
- 2017-06-02; in: "Conference Proceedings EIPBN 2017",
(2017),
1 pages.
More information
-
H. D. Wanzenböck, M. Shawrav, M. Gavagnin, D. Belic, P. Rödinger, G. Hochleitner, J. Mika, P. Taus, M. Stöger-Pollach, E. Bertagnolli:
"Focused electron beam induced processing (FEBIP) as maskless 3D direct-write nanolithography platform";
Talk: International Conference on Micro- and Nano-Engineering,
The Hague, The Netherlands;
2015-09-21
- 2015-09-24; in: "Programme",
(2015).
-
H. D. Wanzenböck, M. Shawrav, M. Gavagnin, S. Wachter, P. Taus, A. Steiger-Thirsfeld, M. Stöger-Pollach, A. Persson, K. Gunnarsson, P. Svedlindh, E. Bertagnolli:
"Nanomagnets as building blocks of logic gates - 3D nano manufacturing of nanomagnet assemblies by electron beam induced deposition";
Talk: International Conference on Micro- and Nano-Engineering,
The Hague, The Netherlands (invited);
2015-09-21
- 2015-09-24; in: "Programme",
(2015).
-
H. D. Wanzenböck, M. Shawrav, J. Mika, S. Waid, Z. Gökdeniz, P. Rödiger, E. Bertagnolli:
"Focused Electron Beam Induced Etching - Advantages, Features & Limitations of FEBIE with Chlorine";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
San Diego, USA;
2015-05-26
- 2015-05-29; in: "Programme",
(2015),
1
- 2.
-
H. D. Wanzenböck, M. Shawrav, J. Mika, S. Waid, Z. Gökdeniz, P. Rödinger, E. Bertagnolli:
"Subtractive direct-writing with a focused electron beam - Tailoring monocrystalline semiconductors without carbon contamination by etching";
Poster: International Conference on Micro- and Nano-Engineering,
The Hague, The Netherlands;
2015-09-21
- 2015-09-24; in: "Programme",
(2015).
-
H. D. Wanzenböck, M. Shawrav, S. Wachter, M. Gavagnin, E. Bertagnolli:
"Expanding nanomagnetic logic into the third dimension New pathways via FEBID";
Talk: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
San Francisco, USA;
2015-05-26
- 2015-05-29; in: "Programme",
(2015),
1
- 2.
-
H. Wanzenböck, S. Gergov, W. Brezna, E. Bertagnolli:
"Local deposition of silicon oxide for phase shift photomasks";
Poster: Informationstagung Mikroelektronik (ME),
Wien;
2001-10-10
- 2001-10-11; in: "Local deposition of silicon oxide for phase shift photomasks",
(2001),
317.
-
H. Wanzenböck, S. Harasek, H. Langfischer, A. Lugstein, E. Bertagnolli:
"Rapid Prototyping by Local Deposition of Siliconoxide and Tungsten Nanostrutures for Interconnect Rewiring";
Poster: 47th International Symposium of the American Vacuum Society,
Boston, USA;
2000-10-02
- 2000-10-06; in: "47th International Symposium of the American Vacuum Society",
(2000),
114.
-
H. Wanzenböck, H. Langfischer, A. Lugstein, E. Bertagnolli:
"Improved Materials for Direct Writing of Mircoelectronc Interconnects";
Poster: 2nd Intl. Conf. On Advanced Materials and Processes for Microelectronics,
Santa Clara, USA;
2000-02-07
- 2000-02-14; in: "AVS Proceedings of the 2nd Intl. Conf. On Advenced Materials and Processes for Microelectronics",
3
(2000),
34.
-
H. Wanzenböck, A. Lugstein, H. Langfischer, E. Bertagnolli, M. Gritsch, H. Hutter:
"Ion Beam Induced Deposition of Dielectric Nanostructures";
Poster: 8th Int. Conference on Dielectric Materials, Measurement and Application (DMMA),
Edinburgh;
2000-09-17
- 2000-09-21; in: "8th Conference on Dielectric Materials, Measurement and Application",
(2000),
ISBN: 0852967306;
485
- 490.
-
H. Wanzenböck, A. Lugstein, H. Langfischer, E. Bertagnolli, M. Gritsch, H. Hutter:
"Ion Biam Induced Chemical Vapor Deposition of Dielectirc Materials";
Poster: Materials Research Society Spring Meeting (MRS),
San Francisco, USA;
2000-04-24
- 2000-04-28; in: "Proceedings of the 2000 Material Research Society Spring-Meeting (MRS)",
(2000),
354.
-
H. Wanzenböck, A. Lugstein, H. Langfischer, S. Harasek, E. Bertagnolli, U. Grabner, P. Pongratz, B. Basnar, J. Smoliner, E. Gornik:
"Effects of Ga-ion irradiaton on chemical and electrical properties of mateial processed by a Focused Ion Beam (FIB)";
Poster: Materials Research Society Fall Meeting (MRS),
Boston, USA;
2000-11-27
- 2000-12-01; in: "Effects on Ga-ion irradiation on chemical and electrical properties of materials processed by a Focu",
647
(2000),
06.6.
-
H. Wanzenböck, M. Verbeek, W. Maurer, E. Bertagnolli:
"FIB Based Local Deposition of Dielectrics for Phaseshift Masd Modification";
Poster: 20th Annual BACUS Symposium on Photomask Technology,
Monterey;
2000-09-13
- 2000-09-15; in: "Proceedings of the SPIE International Society for Potical Engineering",
(2000),
148
- 157.
-
W. Wein, M. Blume, U. Leischner, H. Dodt, N. Navab:
"Quality-based Registration and Reconstruction of Optical Tomography Volumes";
Talk: Medical Image Computing and Computer-Assisted Intervention (MICCAI),
Brisbane, Australia;
2007-10-29
- 2007-11-02; in: "Medical Image Computing and Computer-Assisted Intervention (MICCAI)",
(2007),
1
- 7.
-
M. Wenclawiak, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Losses and Fundamental Interaction Properties of THz Meta-Atoms Strongly Coupled to Intersubband Transitions";
Talk: CLEO/Europe-EQEC 2019,
München;
2019-06-23
- 2019-06-27; in: "CLEO/Europe-EQEC 2019",
IEEE,
(2019),
ISBN: 978-1-7281-0469-0;
1.
-
M. Wenclawiak, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Meta-atom interactions in strongly coupled systems";
Poster: ICPS 2018,
Montpellier, France;
2018-07-29
- 2018-08-03; in: "Proceedings of the 34th International Conference on the Physics of Semiconductors",
(2018),
Paper ID P1_032,
1 pages.
-
M. Wenclawiak, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Strong coupling in varying meta-atom geometries";
Poster: 20th International Winterschool on New Developments in Solid State Physics,
Mauterndorf, Austria;
2018-02-25
- 2018-03-02; in: "Proceedings of 20th International Winterschool on New Developments in Solid State Physics",
(2018),
1
- 2.
-
M. Wenclawiak, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Strong coupling with planar meta-atoms A matter of volume?";
Poster: EOS 2018,
Berlin, Germany;
2018-05-06
- 2018-05-09; in: "Proceedings: Topical Meeting on Terahertz Science & Technology",
(2018),
ISBN: 978-952-68553-5-6;
1.
-
M. Wenclawiak, J. Darmo, K. Unterrainer, A. M. Andrews, G. Strasser:
"cQED in Ensembles of Polaritonic Meta-Atoms";
Poster: Online Conference - International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich;
2020-09-07
- 2020-09-10; in: "Proc. International Quantum Cascade Lasers School & Workshop",
(2020).
-
M. Wenclawiak, C.G. Derntl, S. Schönhuber, B. Limbacher, M. A. Kainz, A. M. Andrews, G. Strasser, J. Darmo, K. Unterrainer:
"Dynamics and non-linear effects of passive and active THz metamaterials";
Talk: 2Dnano 2018,
Erice, Italy (invited);
2018-07-14
- 2018-07-20; in: "Proceedings of Frontiers of photonics, plasmonics and electronics with 2D nanosytems",
(2018),
1 pages.
-
M. Wenclawiak, B. Limbacher, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Ultrastrong coupling experiments with superradiant meta-atoms";
Talk: IRMMW-THz 2019,
Paris, France;
2019-09-01
- 2019-09-06; in: "Proceedings of the 44th International Conference on Infrared, Millimeter, and Terahertz Waves",
IEEE Computer Society,
2019-September
(2019),
ISBN: 978-153868285-2;
1
- 2.
-
M. Wenclawiak, B. Limbacher, C.G. Derntl, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Superradiant meta-atoms strongly coupled to intersubband transitions";
Poster: ITQW 2019,
Ojai;
2019-09-15
- 2019-09-20; in: "ITQW 2019",
(2019),
252
- 253.
-
L.C. Whitmore, T. Koch, S. Abermann, K. Whitmore, A. Steiger-Thirsfeld:
"Transmission electron microscopy of indented and scratched titanium-alumina layers on silicon";
Poster: Microscopy Conference Graz, Dreiländertagung,
Graz;
2009-08-30
- 2009-09-04; in: "MC Graz 2009",
Facultas Verlag,
(2009),
ISBN: 978-3-85125-062-6;
459
- 460.
-
L.R. Wilson, P. Green, A.B. Krysa, J.S. Roberts, H. Ng, D.G. Revin, C. Pflügl, W. Schrenk, G. Strasser, J.W. Cockburn:
"High-performance quantum cascade lasers grown by metal-orgnic vapor phase epitaxy";
Talk: SPIE Conference,
Denver, USA;
2004-08-01
- 2004-08-06; in: "Proc. SPIE Int. Soc. Opt. Eng.",
5564
(2004),
156.
-
K. Wimmer, R. Bauer, S. Halama, G. Hobler, S. Selberherr:
"Prozess-Simulation in nichtplanaren Strukturen mit PROMIS";
Talk: Workshop Numerische Simulation für Technologieentwicklung (NuTech),
Garmisch-Partenkirchen;
1990-09-20
- 1990-09-21; in: "Proceedings NuTech",
(1990),
4.
-
K. Wimmer, R. Bauer, S. Halama, G. Hobler, S. Selberherr:
"Simulation nichtplanarer Herstellungsprozesse mit PROMIS";
Talk: Seminar Grundlagen und Technologie elektronischer Bauelemente,
Großarl;
1991-03-20
- 1991-03-23; in: "Tagungsbericht Seminar Grundlagen und Technologie elektronischer Bauelemente",
(1991),
10
- 19.
-
K. Wimmer, R. Bauer, S. Halama, G. Hobler, S. Selberherr:
"Transformation Methods for Nonplanar Process Simulation";
Talk: International Conference on the Simulation of Semiconductor Devices and Processes (SISDEP),
Zürich;
1991-09-12
- 1991-09-14; in: "Proceedings SISDEP 91",
(1991),
ISBN: 3-89191-476-8;
131
- 138.
-
L. Wind, R Böckle, M. Sistani, L. Vukusic, J. Aberl, M. Brehm, P. Schweizer, W. Weber:
"Highly transparent Contacts to SixGe1-x Nanowires embedded in Metal-Semiconductor-Metal Heterostructures";
Talk: Nanowire Week,
Chamonix;
2022-04-25
- 2022-04-29; in: "Nanowire Week 2022",
(2022),
69.
-
D. Winter, B. Limbacher, M. Jaidl, M. Ertl, M. Brandstetter, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, J. Darmo, K. Unterrainer:
"Investigation of Near-Infrared Induced Spatial THz Modulation in High Resistivity Silicon";
Poster: Gemainsame Jahrestagung der ÖPG und SPS 2021,
Innsbruck;
2021-08-30
- 2021-09-03; in: "Gemeinsame Jahrestagung in Innsbruck",
(2021),
1.
-
C. Wirner, C. Kiener, W. Boxleitner, E. Gornik, G. Böhm, G. Weimann:
"Drifted hot electron distribution funct. and mean free path investigated by FIR-emission";
Talk: Hot Carriers in Semiconductors,
New York;
1996-09-01; in: "Hot Carriers in Semiconductors",
(1996),
389
- 396.
-
M. Wörner, F. Eickemeyer, K. Reimann, T. Elsaesser, S. Barbieri, C. Sirtori, T. Müller, R. Bratschitsch, K. Unterrainer, G. Strasser:
"Coherent vs. incoherent charge transport in semiconductor quantum cascade structures";
Talk: SPIE Conference,
Denver, USA;
2004-08-01
- 2004-08-06; in: "Proc. SPIE Int. Soc. Opt. Eng.",
5352
(2004),
333.
-
T. Zahel, G. Hobler, K. Bourdelle:
"Investigation of defect evolution during hydrogen implantation using kinetic Monte Carlo simulations";
Poster: E-MRS Spring Meeting,
Strasbourg, France;
2008-05-26
- 2008-05-30; in: "Abstracts",
(2008).
-
T. Zahel, G. Otto, G. Hobler:
"Atomistic Simulation of Hydrogen Implantation for SOI Wafer Production";
Talk: Workshop of the Thematic Network on Silicon on Insulator Technology, Devices, and Circuits (EUROSOI),
Granadea, Spanien;
2005-01-19
- 2005-01-21; in: "EUROSOI 2005, Book of Abstracts",
(2005),
35
- 36.
-
T. Zahel, G. Otto, G. Hobler:
"Atomistic simulation of the isotope effect on defect formation in H/D-implanted Si";
Talk: Meeting of the Electrochemical Society, Silicon-on-Insulator Technology and Devices XII,
Quebec City, Canada;
2005-05-15
- 2005-05-20; in: "Silicon-on-Insulator Technology and Devices XI",
(2005),
179
- 184.
-
S. Zaitsev, A. Svintsov, C. Ebm, S. Eder-Kapl, H. Löschner, E. Platzgummer, J. Butschke, F. Letzkus, M. Irscher, F. van Delft, E. Naburgh, B. Basnar:
"Optimum dose distribution for Argon ion multi-beam sputtering of microlens array templates";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Portland, USA;
2008-05-27
- 2008-05-30; in: "Abstracts",
(2008).
-
T. Zederbauer, C. Deutsch, M. Nobile, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Growth of InGaAs/GaAsSb Heterostructures for MIR and THz Quantum Cascade Lasers";
Poster: 3rd International Workshop on Epitaxial Growth and Fundamental Properties of Semiconductor Nanostructures,
Traunkirchen;
2011-09-11
- 2011-09-16; in: "Program and Abstracts",
(2011),
145.
-
T. Zederbauer, E. Mujagic, C. Schwarzer, G. Strasser:
"Analytical and Numerical Study on Surface Emitting Ring Lasers";
Poster: 60th Annual Meeting Austrian Physical Society,
Salzburg;
2010-09-06
- 2010-09-10; in: "60th Annual Meeting Austrian Physical Society",
(2010),
194
- 195.
-
X Zhang, V. Mitin, A. Sergeev, K. Sablon, M. Yakimov, S. Oktyabrsky, J. Choi, G. Strasser:
"Nanoscale engineering of photoelectron processes in quantum well and dot structures for sensing and energy conversion";
Talk: EDISON 20,
Buffalo;
2017-07-16
- 2017-07-21; in: "Journal of Physics: Conference Series",
IOP Publishing Ltd.,
906
(2017),
1
- 4.
More information
-
Y. Zhang, P. Dobson, J. Weaver, M. Alomari, E. Kohn, S. Bychikhin, D. Pogany:
"Measuring Thermal Conductivity of Nanocrystalline Diamond Film with a Scanning Thermal Microscope";
Talk: IEEE Conference on Nanotechnology (NANO),
Birningham, United Kingdom;
2013-08-20
- 2013-08-23; in: "IEEE tnternational Conference on Nanotechnology",
(2013),
1
- 6.
-
C. Zimmermann, O. Bethge, B. Lutzer, E. Bertagnolli:
"Electrical Characterization of Yttrium Oxide grown by Atomic Layer Deposition for Germanium based MOS Devices";
Talk: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
2015-09-01
- 2015-09-04; in: "Gemeinsame Jahrestagung in Wien - ÖPG Tagungsband",
(2015),
48.
-
R. Zobl, M. Fuchshuber, G. Strasser, K. Unterrainer, E. Gornik, K.D. Maranowski, A.C. Gossard:
"THz Emission from Parabolically Graded Quantum Wells in Tilted Magnetic Fields";
Talk: IEEE Conference,
Boston;
1998-07-01; in: "Proc. 6th IEEE Conference",
(1998),
227
- 230.
-
R. Zobl, V. Tamosiunas, G. Fasching, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, L. Pfeiffer, K. West, F. Capasso:
"Terahertz quantum cascade lasers operating in magnetic fields";
Talk: The 16th International Conference on High Magnetic Fields in Semiconductor Physics,
Tallahassee, Florida;
2004-08-02
- 2004-08-06; in: "SemiMag- 16",
(2004),
7.
Talks and Poster Presentations (without Proceedings-Entry)
-
S. Abermann, O. Bethge, C. Henkel, E. Bertagnolli:
"Atomic Layer Deposition: Thin film- and interface engineering for the post-silicon era";
Talk: ÖPG-Jahrestagung,
Innsbruck;
2009-09-02
- 2009-09-04.
-
S. Abermann, O. Bethge, C. Henkel, E. Bertagnolli:
"Electrical Characteristics of Atomic Layer Deposited Aluminium Oxide and Lanthanum-Zirconium Oxide High-k Dielectric Stacks";
Poster: International Conference on Ultimate Integration of Silicon (ULIS),
Aachen, Deutschland;
2009-03-18
- 2009-03-20.
-
S. Abermann, W. Brezna, J. Smoliner, E. Bertagnolli:
"Nanoscopic versus macroscopic C-V characterization of high-k - MOCVD ZrO2 thin films";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
2005-09-19
- 2005-09-22.
-
S. Abermann, C. Jordan, M. Harasek, E. Bertagnolli:
"Processing and simulation of few nm thick high-k dielectric films";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
2005-09-19
- 2005-09-22.
-
S. Abermann, C. Ostermaier, G. Pozzovivo, J. Kuzmik, O. Bethge, C. Henkel, G. Strasser, D. Pogany, C. Giesen, M. Heuken, M. Alomari, E. Kohn, E. Bertagnolli:
"oeAtomic Layer Deposition of High-k Oxides on InAlN/GaN-Based Materials";
Talk: Meeting of the Electrochemical Society (ECS),
Wien;
2009-10-04
- 2009-10-09.
-
S. Ahn, A. M. Andrews, W. Schrenk, G. Strasser:
"Facet Reflectivity Reduction of Quantum Cascade Lasers by Angled Facets";
Talk: SPIE Photonics Europe 2012,
Brüssel;
2012-04-16
- 2012-04-19.
-
S. Ahn, A. M. Andrews, W. Schrenk, G. Strasser:
"The Mode Reflectivity Reduction of Quantum Cascade Lasers by a Tilted Front Facet";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2012-02-12
- 2012-02-17.
-
S. Ahn, S. Kalchmair, C. Schwarzer, R. Gansch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Facet Reflectivity Reduction of Quantum Cascade Lasers by Tilted Facets";
Poster: GMe Forum 2012,
Wien;
2012-03-29
- 2012-03-30.
-
S. Ahn, E. Mujagic, M. Nobile, H. Detz, C. Schwarzer, A. M. Andrews, W. Schrenk, G. Strasser:
"Temperature-induced beam steering of Y-coupled quantum cascade lasers";
Poster: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
2010-07-25
- 2010-07-30.
-
S. Ahn, E. Mujagic, M. Nobile, C. Schwarzer, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Beam Steering of Y-coupled Quantum Cascade Lasers";
Talk: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
2011-01-19
- 2011-01-20.
-
S. Ahn, E. Mujagic, M. Schinnerl, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Reduction of Facet Reflectivity for Quantum Cascade Lasers with Angled Facets";
Talk: Annual Meeting of the Austrian and Swiss Physics Society,
Lausanne, Schweiz;
2011-06-15
- 2011-06-17.
-
S. Ahn, M. Nobile, E. Mujagic, C. Schwarzer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Phase-induced Beam steering of Y-coupled quantum cascade lasers";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
2010-08-30
- 2010-09-03.
-
S. Ahn, C. Schwarzer, S. Kalchmair, R. Gansch, D. Ristanic, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"The Influence of a Tilted Facet on Quantum Cascade Lasers";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
2012-09-02
- 2012-09-06.
-
S. Ahn, C. Schwarzer, S. Kalchmair, R. Gansch, D. Ristanic, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"The Mode Reflectivity Reduction of Quantum Cascade Lasers by a Tilted Front Facet";
Talk: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
2012-06-26
- 2012-06-27.
-
A. Alexewicz:
"Gate oxides for AlGaN/GaN MOSHEMTs";
Talk: WOCSEMMAD,
New Orleans, USA;
2013-02-17
- 2013-02-20.
-
A. Alexewicz, M. Capriotti, O. Bethge, D. Visalli, J. Derluyn, D. Pogany, E. Bertagnolli, G. Strasser:
"Normally-off GaN MOSHEMTs with thin barrier on Si substrate";
Talk: ÖPG-Jahrestagung,
Linz;
2013-09-03
- 2013-09-06.
-
A. Alexewicz, P. Marko, M. Alomari, H. Behmenburg, C. Giesen, M. Heuken, D. Pogany, E. Kohn, G. Strasser:
"InAlGaN/AlN GaN-HEMTs with In-Situ SiN Passivation";
Poster: International Conference on Physics of Semiconductor (ICPS),
Zürich, schweiz;
2012-07-29
- 2012-08-03.
-
A. Alexewicz, P. Marko, M. Alomari, H. Behmenburg, C. Giesen, M. Heuken, D. Pogany, E. Kohn, G. Strasser:
"InAlGaN/AlN GaN-HEMTs with In-Situ SiN Passivation";
Poster: GMe Forum 2012,
Wien;
2012-03-29
- 2012-03-30.
-
A. Alexewicz, P. Marko, M. Alomari, H. Behmenburg, C. Giesen, M. Heuken, D. Pogany, E. Kohn, G. Strasser:
"Performance Enhancement of InAlGaN/AlN GaN-HEMTs by using In-Situ SiN Passivation";
Poster: 76. Jahrestagung der DPG und DPG-Frühjahrstagung,
Berlin, Deutschland;
2012-03-25
- 2012-03-30.
-
A. Alexewicz, C. Ostermaier, C. Henkel, O. Bethge, J. Carlin, M. Gonschorek, N. Grandjean, D. Pogany, E. Bertagnolli, G. Strasser:
"Dependence of the threshold voltage on oxide interlayer Thickness in E-mode InAlN/AlN GaN-MOS-HEMTs on Si substrate";
Talk: ÖPG-Jahrestagung,
Lausanne, Schweiz;
2011-06-15
- 2011-06-17.
-
A. Alexewicz, C. Ostermaier, C. Henkel, O. Bethge, J. Carlin, M. Gonschorek, N. Grandjean, D. Pogany, E. Bertagnolli, G. Strasser:
"E-Mode InAlN/AlN-GaN MOS-HEMTs on Si Substrates";
Talk: Microelectronics Conference,
Wien;
2012-04-23
- 2012-04-24.
-
A. Alexewicz, C. Ostermaier, G. Pozzovivo, W. Schrenk, M. Schmid, L. Toth, B. Pecz, J. Carlin, M. Gonschorek, N. Grandjean, J. Kuzmik, D. Pogany, G. Strasser:
"Microstructural and Electrical Analyses of Oxygen Diffusion into Iridium Metal Gates";
Poster: GMe Forum 2011,
Vienna, Austria;
2011-04-14
- 2011-04-15.
-
A. Amon, J. Mika, H. D. Wanzenböck, E. Bertagnolli:
"A novel platform for neural electrical activity measurements of neurites";
Poster: International Congress BioNanoMed,
Krems;
2012-03-01
- 2012-03-02.
-
R. Amsüss, S. Haslinger, Christoph Hufnagel, C. Koller, N. Lippok, T. Nöbauer, C. Novotny, S. Putz, S. Rotter, M. Schramböck, S. Schneider, J. Majer, H.-J. Schmiedmayer:
"Coupling of spin ensembles to superconducting cavities";
Poster: Optics Summerschool 2010,
Denmark;
2010-08.
-
R. Amsüss, S. Haslinger, Christoph Hufnagel, C. Koller, N. Lippok, C. Novotny, M. Schramböck, S. Schneider, J. Majer, H.-J. Schmiedmayer:
"Hybrid Quantum Systems: Integrating Atomic and Solid-State Qubits";
Poster: Young Atom Opticians Conference 2010,
Amsterdam, Netherlands;
2010-03-22
- 2010-03-27.
-
R. Amsüss, S. Haslinger, Christoph Hufnagel, C. Koller, N. Lippok, M. Schramböck, K. Henschel, H. Zoubi, J. Majer, S. Schneider, H. Ritsch, H.-J. Schmiedmayer:
"Hybrid Quantum Systems: Integrating Atomic and Solid State Qubits";
Poster: Joint Annual meeting of the Austrian and Swiss Federal Physical Society,
Inssbruck;
2009-09.
-
R. Amsüss, C. Koller, T. Nöbauer, S. Putz, M. Schramböck, S. Rotter, H.-J. Schmiedmayer, J. Majer:
"Hybrid Quantum System: Coupling Color Centers to Superconducting Cavities";
Talk: ÖPG/SPS Tagung,
Lausanne, Switzerland;
2011-06-16.
-
S. Anders, W. Schrenk, G. Strasser:
"Room temperature lasing of electrically pumped quantum cascade micro-cylinders";
Poster: International Conference on Superlattices, Nanostructures and Nanodevices,
Toulouse, France;
2002-07-22
- 2002-07-26.
-
S. Anders, P Schwaha, W. Schrenk, G. Strasser:
"Electrically pumped quantum cascade ring lasers";
Poster: 12th Euro-MBE Workshop,
Bad Hofgastein, Österreich;
2003-02-16
- 2003-02-19.
-
A. M. Andrews, A. Benz, G. Fasching, K. Unterrainer, T. Roch, W. Schrenk, G. Strasser:
"Doping Dependence of THz Quantum-Cascade Lasers";
Talk: E-MRS Spring Meeting,
Strasbourg, France;
2007-05-28
- 2007-06-01.
-
A. M. Andrews, M. Brandstetter, C. Deutsch, M. Krall, H. Detz, D. MacFarland, T. Zederbauer, W. Schrenk, G. Strasser, K. Unterrainer:
"Increasing THz QCL active region thickness by wafer bonding";
Keynote Lecture: WOCSEMMAD,
San Antonio, TX, USA;
2014-02-16
- 2014-02-19.
-
A. M. Andrews, M. Brandstetter, M. Krall, C. Deutsch, T. Zederbauer, D. MacFarland, H. Detz, W. Schrenk, K. Unterrainer, G. Strasser:
"Role of Facet Type on Terahertz Quantum Cascade Lasers with Metal-Metal Waveguides";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2014-02-23
- 2014-02-28.
-
A. M. Andrews, H. Detz, P. Klang, C. Deutsch, M. Nobile, W. Schrenk, K. Unterrainer, G. Strasser:
"MBE growth of InGaAs/GaAsSb based mid-infrared and THz quantum cascade lasers";
Talk: European Molecular Beam Epitaxy Workshop,
Alpe d´Huez, France;
2011-03-20
- 2011-03-23.
-
A. M. Andrews, H. Detz, M. Nobile, P. Klang, E. Mujagic, W. Schrenk, G. Strasser:
"Al-free Material System for InP-based Intersubband Devices";
Talk: ÖPG-Jahrestagung,
Innsbruck;
2009-09-02
- 2009-09-04.
-
A. M. Andrews, H. Detz, T. Zederbauer, D. MacFarland, W. Schrenk, G. Strasser, M. A. Kainz, M. Brandstetter, S. Schönhuber, K. Unterrainer, L. Prochaska, M. Bonta, E. Bianco, A. Limbeck, E Ringe, S. Bühler-Paschen:
"III-V semiconductor heterostructure and heavy fermion thin films by MBE";
Talk: 7th Seminar of the Junge DGKK on current research projects in crystal growth and epitaxy,
Wien (invited);
2018-02-13.
-
A. M. Andrews, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, W. Schrenk, K. Unterrainer, G. Strasser:
"Terahertz Quantum Cascade Lasers";
Talk: WOCSEMMAD,
Napa, CA, USA (invited);
2012-02-19
- 2012-02-22.
-
A. M. Andrews, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, W. Schrenk, K. Unterrainer, G. Strasser:
"THz Quantum Cascade Lasers";
Talk: WOCSEMMAD,
Napa Valley, USA (invited);
2012-02-19
- 2012-02-22.
-
A. M. Andrews, P. Klang, A. Lugstein, M. Schramböck, R. Krzyzanowski, M. Steinmair, Y.J. Hyun, E. Bertagnolli, C. Zauner, K. Unterrainer, W. Schrenk, G. Strasser:
"Hierarchical Growth of GaAs Whiskers on Si Nanowires";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
2008-02-18
- 2008-02-22.
-
A. M. Andrews, P. Klang, A. Lugstein, M. Schramböck, M. Steinmair, Y.J. Hyun, E. Bertagnolli, C. Zauner, K. Unterrainer, W. Schrenk, G. Strasser:
"Growth of GaAs Whiskers by MBE on LPCVD Si(111) Nanowire Trunks";
Talk: CLEO/QELS,
San Jose, California, USA;
2008-05-04
- 2008-05-09.
-
A. M. Andrews, M. Nobile, C. Deutsch, H. Detz, T. Zederbauer, D. MacFarland, W. Schrenk, K. Unterrainer, G. Strasser:
"IngaAs/GaAsSb Material System for Quantum Cascade Lasers";
Talk: 3rd International Nanophotonics Meeting 2013,
Salzburg (invited);
2013-09-01
- 2013-09-03.
-
A. M. Andrews, M. Nobile, C. Deutsch, H. Detz, T. Zederbauer, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb Material System for Quantum Cascade Lasers";
Talk: MIOMD-XI Infrared Optoelectronics: Materials and Devices,
Chicago, USA (invited);
2012-09-04
- 2012-09-08.
-
A. M. Andrews, M. Nobile, C. Deutsch, H. Detz, T. Zederbauer, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb Quantum Cascade Lasers";
Talk: GMe Forum 2012,
Wien (invited);
2012-03-29
- 2012-03-30.
-
A. M. Andrews, M. Nobile, C. Deutsch, H. Detz, T. Zederbauer, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb Quantum Cascade Lasers";
Talk: Nanophotonics and Electronics Meeting,
Paris, Frankreich (invited);
2012-04-02
- 2012-04-03.
-
A. M. Andrews, M. Nobile, C. Deutsch, P. Klang, H. Detz, A. Benz, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb/InP Material System for MIR and THz Quantum Cascade Lasers";
Talk: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien (invited);
2010-08-30
- 2010-09-03.
-
A. M. Andrews, C. Pflügl, M. Austerer, T. Roch, W. Schrenk, M. Kast, G. Strasser:
"High performance GaAs-based Quantum Cascade Lasers";
Talk: North American Conf. on Molecular Beam Epitaxy (NAMBE),
Banff, Canada;
2004-10-10
- 2004-10-14.
-
A. M. Andrews, M. Schramböck, P. Klang, M. Austerer, W. Schrenk, G. Strasser:
"InAs QDs for Intersubband Devices";
Talk: IRON Workshop,
Bad Hofgastein, Austria;
2007-01-30
- 2007-01-31.
-
A. M. Andrews, M. Schramböck, T. Roch, M. Austerer, W. Schrenk, G. Strasser:
"InAs QDs on AlxGa1-xAs Surfaces";
Poster: EURO-MBE Workshop,
Sierra Nevada, Spain;
2007-03-05
- 2007-03-07.
-
A. M. Andrews, T. Zederbauer, D. MacFarland, H. Detz, P. Reininger, B. Schwarz, W. Schrenk, G. Strasser:
"Growth of AlxIn1-xAs1-ySby for InAs-based Quantum Cascade Detectors";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
2016-02-21
- 2016-02-26.
-
A.M. Andrews, G. Fasching, T. Roch, W. Schrenk, A. Benz, R. Zobl, G. Strasser, K. Unterrainer:
"Crosshatch surface morphology in lattice mismatched films";
Talk: Seminar Universität Regensburg,
Regensburg, Deutschland (invited);
2005-01-10.
-
A.M. Andrews, G. Fasching, T. Roch, W. Schrenk, A. Benz, R. Zobl, G. Strasser, K. Unterrainer:
"Growth and characterization of epitaxial structures for GaAs-based THz quantum cascade lasers";
Talk: 35th Workshop: Physics and Technology of THz Photonics,
Erice, Italy;
2005-07-20
- 2005-07-26.
-
D. Andrijasevic, M. Austerer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Hybrid GaAs - Si Quantum Cascade Lasers";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
2008-02-18
- 2008-02-22.
-
D. Andrijasevic, H. Detz, M. Austerer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"GaAs-Si Hybrid Quantum Cascade Lasers";
Talk: MRS Spring Meeting,
San Francisco, USA;
2008-03-24
- 2008-03-28.
-
A. Antipov, G. Strasser, A. Sergeev, L. Chien, N. Vagidov, V. Mitin:
"Quantum dot photodetectors based on structures with collective potential barriers";
Talk: Photonics West,
San Francisco, USA;
2010-01-23
- 2010-01-28.
-
K. Aretouli, J. Kuzmik, D. Pogany, A. Adikimenakis, A. Kostopoulos, G. Konstantinidis, A. Georgakilas:
"Current instabilities in AlN/GaN HEMTs";
Poster: European Workshop on Heterostructure Technology,
Fodele, Greece;
2010-10-19
- 2010-10-20.
-
K. Aretouli, J. Kuzmik, D. Pogany, A. Adikimenakis, T. Kostopoulos, G. Konstantinidis, A. Georgakilas:
"Pulsed I-V performance of AlN/GaN HEMTs";
Poster: 4th Int. Conf. on Micro-Nanoelectronics, Nanotechnologies & MEMs,
Athen, Greece;
2010-12-12
- 2010-12-15.
-
R. Ascazubi, O. Akin, T. Zaman, R. Kersting, G. Strasser:
"Scattering and dephasing in semiconductor heterostructures";
Poster: Materials Research Society Fall Meeting (MRS),
Boston;
2002-12-02
- 2002-12-06.
-
E. Auer, S. Löffler, A. Lugstein, E. Bertagnolli:
"Influence of Oxygen and Temperature on beta-Ga2O3 Nanowire Synthesis";
Talk: 2nd International Symposium on Transparent Conductive Oxides,
Crete, Greece;
2008-10-22
- 2008-10-26.
-
M. Austerer, C. Pflügl, A. M. Andrews, W. Schrenk, T. Roch, T. Müller, K. Unterrainer, G. Strasser:
"Intraband emission of quantum dot cascade structures";
Talk: SANDIE Optics Group Meeting,
Berlin, Deutschland;
2005-01-13
- 2005-01-14.
-
M. Austerer, C. Pflügl, S. Golka, W. Schrenk, A. M. Andrews, T. Roch, G. Strasser:
"Progress on Quantum Cascade Lasers";
Talk: ADLIS workshop,
Traunstein, Österreich;
2005-02-28
- 2005-03-01.
-
M. Austerer, C. Pflügl, W. Schrenk, S. Golka, R. Green, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, G. Strasser:
"MOVPE and MBE grown surface emitting quantum cascade lasers";
Talk: 8th International Conference on Intersubband Transitions in Quantum Wells,
Cape Cod, USA;
2005-09-11
- 2005-09-16.
-
A. Avdic, A. Lausch, A. Lugstein, E. Bertagnolli:
"New generation micro vacuum gauge for ultra high vacuum measurements using modified AFM tips";
Talk: DPG-Frühjahrstagung 2012 (Spring Meeting of the Condensed Matter Section),
Berlin, Deutschland;
2012-03-25
- 2012-03-30.
-
A. Avdic, A. Lugstein, E. Bertagnolli:
"Application of FIB induced nanowires";
Poster: Mikrosystemtechnik Kongress 2009,
Berlin, D;
2009-10-12
- 2009-10-14.
-
A. Avdic, A. Lugstein, M. Wu, B. Gollas, I. Pobelov, T. Wandlowski, E. Bertagnolli:
"Microfabrication of the combined AFM-SECM Sensors utilizing Focused Ion Beam and isotropic Inductively Coupled Plasma-Reactive Ion Etching";
Talk: MRS Fall Meeting,
Boston, USA;
2010-11-29
- 2010-12-03.
-
S. Barth, P. Pertl, M. Seifner, A. Lugstein:
"Low Temperature Synthesis of Germanium Nanorods and Nanowires";
Talk: 2017 MRS Fall Meeting Boston ; Symposium NM03: Progress in Developing and Applications of Functional One-Dimensional Nanostructures,
Boston;
2017-11-26
- 2017-12-01.
-
S. Barth, P. Pertl, M. Seifner, A. Lugstein:
"Low Temperature Synthesis of Germanium-Based Nanorods and Nanowires";
Talk: 2017 MRS Fall Meeting Boston ; Symposium EM10: Solution-Processed Inorganics for Electronic and Photonic Device Applications,
Boston;
2017-11-26
- 2017-12-01.
More information
-
B. Basnar:
"Influential Surfaces";
Talk: Seminar am Institut für Materialchemie,
Wien;
2010-12-01.
-
B. Basnar, A. M. Andrews, S. Schartner, M. Austerer, E. Mujagic, P. Klang, W. Schrenk, G. Strasser:
"Tuning of mid-infrared quantum cascade lasers using chromic claddings";
Poster: ITQW,
Montreal, Canada;
2009-09-06
- 2009-09-11.
-
B. Basnar, A. Lugstein, M. Schinnerl, G. Strasser, E. Bertagnolli:
"Direct Determination of Forward Sputtering Rates and Redeposition for Focused Ion Beam Milling";
Poster: International Conference on Ion Beam Modification of Materials (IBMM),
Montreal;
2010-08-22
- 2010-08-27.
-
M Beiser, J. Hillbrand, A. M. Andrews, R. Weih, S. Höfling, G. Strasser, B. Schwarz:
"Monolithic Frequency Comb Generation and High-speed Detection based on Interband Cascade Structures";
Talk: German Molecular Beam Epitaxy 2019 (DEMBE2019),
Würzburg;
2019-10-07
- 2019-10-08.
-
A. Benz, M. Brandstetter, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Superconducting metal-metal waveguide for THz quantum-cascade lasers";
Poster: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
2010-07-25
- 2010-07-30.
-
A. Benz, C. Deutsch, M. Brandstetter, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser, K. Unterrainer:
"Tuning effects of active photonic crystal quantum-cascade lasers";
Talk: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
2011-01-19
- 2011-01-20.
-
A. Benz, C. Deutsch, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Microdisk THz quantum-cascade lasers with super-conducting cavities";
Talk: SPIE Optics+Photonics 2010,
San Diego, USA;
2010-08-01
- 2010-08-05.
-
A. Benz, G. Fasching, K. Unterrainer, R. Zobl, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Quantum-Mechanical designed Terahertz Laser";
Poster: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien;
2005-09-27
- 2005-09-29.
-
E. Bertagnolli:
"Focused Ion Beam Technology; A Route to Silicon Nanofabrication";
Poster: CELDIS Workschop on Electronic Material Nanostructures: Fabrication and Characterisation,
Warsaw, Poland (invited);
2001-09-20
- 2001-09-23.
-
O. Bethge:
"High-K gate oxides for electronic applications";
Talk: Seminar am Institut für Materialchemie,
Wien (invited);
2015-03-25.
-
O. Bethge, S. Abermann, C. Henkel, E. Bertagnolli:
"Processing of vertical-aligned Si-nanowire arrays for next generation CMOS devices";
Poster: ÖPG-Jahrestagung,
Innsbruck;
2009-09-02
- 2009-09-04.
-
O. Bethge, S. Abermann, C. Henkel, E. Bertagnolli:
"Top-down" approach for vertical nanowire arrays incorporating ALD high-k metal gate stacks";
Poster: Meeting of the Electrochemical Society (ECS),
Wien;
2009-10-04
- 2009-10-09.
-
O. Bethge, S. Abermann, C. Henkel, M. Stöger-Pollach, J. Smoliner, E. Bertagnolli:
"ALD grown La2O3 for Ge-based MOS-device applications";
Talk: E-MRS Spring Meeting,
Nizza, Frankreich;
2011-05-09
- 2011-05-13.
-
O. Bethge, S. Abermann, C. Henkel, C. Straif, H. Hutter, J. Smoliner, E. Bertagnolli:
"ALD of high-k oxides on (100) Ge-substrates: Scaling ability and interface engineering for MOS-device applications";
Talk: Baltic ALD 2010 & GerALD2,
Hamburg,Deutschland;
2010-09-16
- 2010-09-17.
-
O. Bethge, C. Henkel, S. Abermann, H. Hutter, J. Smoliner, E. Bertagnolli:
""Impact of the ALD process on the inversion capacitance in Ge based MOS capacitors";
Poster: GMe Forum 2012,
Wien;
2012-03-29
- 2012-03-30.
-
O. Bethge, C. Zimmermann, C. Henkel, E. Bertagnolli:
"ALD grown germanates for effective passivation of the Ge surface";
Talk: European Materials Research Society (EMRS),
Nizza, Frankreich;
2013-05-10
- 2013-05-12.
-
O. Bethge, C. Zimmermann, B. Lutzer, C. Henkel, E. Bertagnolli:
"Electrical and Physical Characterization of Interfacial Germanates in Ge-based MOS devices";
Talk: ÖPG-Jahrestagung,
Linz;
2013-09-03
- 2013-09-06.
-
J. Bird, J. Chen, J. Song, Lin Y., G. Aizin, G. Strasser, N. Aoki, Y. Ochiai:
"Nanoscale Terahertz Rectifiers";
Talk: TeraNano 2011 & GDR-I THz 2011,
Osaka, Japan (invited);
2011-11-24
- 2011-11-29.
-
M. Blaho, D. Pogany, E. Gornik, L. Zullino, A. Andreini:
"Expermental ans simulation analysis of a BCD ESD protection element under the DC and TLP stress conditions";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Rimini, Italy;
2002-10-07
- 2002-10-11.
-
R Böckle, M. Sistani, W. Weber:
"Ge-based Reconfigurable Transistors: A Platform Enabling Negative Differential Resistance";
Poster: Dresden Microelectronics Academy (DMA),
Dresden;
2021-09-20
- 2021-09-24.
-
W. Boxleitner, G. Hobler:
"FIBSIM -- Dynamic Monte Carlo simulation of compositional and topography changes caused by focused ion beam milling";
Talk: 5th Interanional Conference Computer Simulation of Radiation Effects in Solids,
Penn State University, USA;
2000-07-24
- 2000-07-28.
-
W. Boxleitner, G. Hobler, V. Klüppel, H. Cerva:
"Dynamic simulation of topography evolution and damage formation in TEM sample preparation using focused ion beams";
Talk: 12th International Conference Ion Beam Modification of Materials,
Gramado-Canela, Brasil;
2000-09-03
- 2000-09-08.
-
M. Brandstetter, A. Benz, C. Deutsch, K. Unterrainer, P. Klang, H. Detz, W. Schrenk, A. M. Andrews, G. Strasser:
"Terahertz quantum cascade lasers with superconducting waveguides";
Talk: Gemeinsame Jahrestagung von SPG, ÖPG, SGAA und ÖGAA in Lausanne,
Lausanne;
2011-06-15
- 2011-06-17.
-
M. Brandstetter, C. Deutsch, A. Benz, K. Unterrainer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Stacked active region THz quantum cascade lasers with improved performance";
Talk: SPIE Photonics West 2013,
San Francisco;
2013-02-02
- 2013-02-07.
-
M. Brandstetter, C. Deutsch, M. Krall, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High performance symmetric active region THz quantum casacade lasers";
Talk: 6th International Workshop on Terahertz Technology and Applications,
Kaiserslautern;
2014-03-11
- 2014-03-12.
-
M. Brandstetter, C. Deutsch, M. Krall, H. Detz, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High performance THz QCLs with wafer bonded active regions";
Talk: 3rd International Nanophotonics Meeting 2013,
Salzburg;
2013-09-01
- 2013-09-03.
-
M. Brandstetter, C. Deutsch, M. Krall, S. Schönhuber, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"High Power THz Quantum Cascade Lasers for Real-Time Imaging";
Poster: German THz Conference 2015,
Dresden;
2015-06-08
- 2015-06-10.
-
M. Brandstetter, M. Janits, C. Deutsch, M. Martl, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz quantum cascade lasers with coupled microdisk cavities";
Poster: GMe Forum 2012,
Wien;
2012-03-29
- 2012-03-30.
-
M. Brandstetter, H. Moser, A. Genner, B. Lendl, G. Strasser:
"Time-resolved characterization of the spectral behavior of ring cavity surface emitting QCLs (RCSE-QCLs)";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
2012-09-02
- 2012-09-06.
-
R. Bratschitsch:
"Time-resolved THz intersubband spectroscopy";
Talk: Department of Chemistry and Biochemistry,
San Diego, USA;
2000-05-16.
-
R. Bratschitsch:
"Time-resolved THz spectroscopy of intersubband transitions";
Talk: University of Colorado at Boulder,
Boulder, USA;
2001-03-20.
-
R. Bratschitsch:
"Time-resolved THz sprctroscopy of intersubband transitions";
Talk: Optics Institute, Univ. of Rochester,
Rochester, USA;
2001-06-11.
-
R. Bratschitsch, T. Müller, N. Finger, G. Strasser, K. Unterrainer, C. Sirtori:
"Non-instantaneous response of a plasma Bragg mirror";
Talk: APS March Meeting,
Seattle, USA;
2001-03-12
- 2001-03-16.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Coherent THz emission from optically pumped intersubband plasmons in parabolic quantum wells";
Talk: Ultrafast Phenomena 2000,
Charleston, USA;
2000-07-09
- 2000-07-13.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Coherent THz emission from optically pumped parabolic quantum wells";
Poster: ITW 2000,
Sandbjerg Estate, Dänemark;
2000-09-17
- 2000-09-19.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Few-cycle THz-generation?,? from semiconductor quantum structures";
Poster: 8th International Conference on THz-Electronics,
Darmstadt;
2000-09-28
- 2000-09-29.
-
R. Bratschitsch, T. Müller, R. Kersting, G. Strasser, K. Unterrainer:
"Nonadiabatic Intersubband Spectroscopy";
Talk: ITW 2000,
Sandbjerg Estate, Dänemark (invited);
2000-09-17
- 2000-09-19.
-
R. Bratschitsch, T. Müller, G. Strasser, K. Unterrainer:
"Intersubband relaxation dynamics in semiconductor quantum sturctures";
Poster: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
2001-07-23
- 2001-07-27.
-
R. Bratschitsch, T. Müller, G. Strasser, K. Unterrainer:
"Ultrafast intersubband probing in the mit-infrared";
Talk: 6th International Conference on Intersubband Transitions in Quantum Wells,
Asilomar, USA;
2001-09-10
- 2001-09-14.
-
W. Brezna:
"Mapping of Local Oxide Properties by Quantitative Scanning Capacitance Spectroscopy";
Talk: International Conference on Scanning Tunneling Microscopy/Spectroscopy and Related Techniques (STM),
Sapporo, Japan;
2005-07-03
- 2005-07-08.
-
W. Brezna:
"Photocurrent Imaging and Spectroscopy on GaAs/AlAs/InAs Heterostructures";
Talk: Infrared Optical Nanostructures workshop,
Bad Hofgastein;
2007-01-31
- 2007-02-02.
-
W. Brezna, G. Fasching, K. Unterrainer, G. Strasser, J. Smoliner:
"Atomic Force Microscopy based on Room Temperature Photocurrent Spectroscopy of Single Subsurface InAs Quantum Dots";
Talk: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01.
-
W. Brezna, S. Harasek, A. Lugstein, T. Leitner, H. Hoffmann, E. Bertagnolli, J. Smoliner:
"Quantitative Scanning Capacitanc Spectroscopy";
Talk: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, Arizona, USA (invited);
2004-07-26
- 2004-07-30.
-
W. Brezna, M. Schramböck, A. Lugstein, S. Harasek, H. Enichlmair, E. Bertagnolli, E. Gornik, J. Smoliner:
"Quantitative Scanning Capacitance Sppecroscopy";
Talk: 8th Int. Conference on Nanometer Scale Science and Technology,
Venice, Italy;
2004-06-29
- 2004-07-01.
-
W. Brezna, H. D. Wanzenböck, A. Lugstein, E. Bertagnolli, E. Gornik, J. Smoliner:
"Focused ion beam induced damage in silicon investigated with scanning capacitance microscopy";
Talk: 4th Int. Symposium on Nanostructures and Mesoscopic Systems 2003 (NANOMES 2003),
Tmpe, Arizona, USA;
2003-02-17
- 2003-02-21.
-
C Brink, D Schneider, G. Ploner, G. Strasser, E. Gornik:
"Magnetophonon resonance in the confinement on an n-GaAs/AlGaAs-heterojunction tuned to a quasi-one-dimensional quantum wire";
Poster: 14th International Conference On the Electric Properties of Two-dimensional Systems,
Prague, Czech Republice;
2001-07-30
- 2001-08-03.
-
M. Budil, G. Hobler:
"Topography Simulation of Sputtering using an Algorithm with Second Order Approximation in Space";
Talk: International Conference on Simulation of Radiation Effects in Solids,
Krakow, Polen;
2010-07-19
- 2010-07-23.
-
M. Budnowski, M. Taupin, G. Lientschnig, B. Gottsbachner, A. Lugstein, S. Paschen:
"Thermoelectric measurements on FeSi nanowires";
Poster: C-MAC Euroschool 2018,
Krakau;
2018-05-25
- 2018-05-29.
-
M. Budnowski, M. Taupin, G. Lientschnig, B. Gottsbachner, A. Lugstein, S. Paschen:
"Thermoelectric measurements on FeSi nanowires";
Talk: Solids4Fun Summer School (2018),
Waidhofen an der Ybbs, Austria;
2018-07-02
- 2018-07-06.
-
M. Budnowski, M. Taupin, G. Lientschnig, B. Gottsbachner, A. Lugstein, S. Paschen:
"Thermoelectric properties of FeSi nanowires";
Poster: ECMetAC Days 2018,
Posen;
2018-12-03
- 2018-12-05.
-
T. Burchhart, A. Lugstein, E. Bertagnolli:
"In situ SEM-monitoring of Germanium Nanowire Device Altering under Electric Stress";
Talk: NODE Summer School,
Cortona, Italy;
2008-07-01
- 2008-07-05.
-
T. Burchhart, A. Lugstein, Y.J. Hyun, G. Hochleitner, E. Bertagnolli:
"Fabrication and Electrical Characterization of Ge Nanowires with Atomic Scale Aligned Copper-Germanide Contacts";
Talk: 4th International Conference on Surfaces, Coatings and Nanostructured Materials (NanoSMat2009),
Rom, Italien;
2009-10-19
- 2009-10-22.
-
T. Burchhart, C. Zeiner, A. Lugstein, J. Silvano de Sousa, J. Smoliner, E. Bertagnolli:
"Atomically Sharp Germanium Nanowire-metal Heterostructures for Ω-gated High Performance MOSFETs and Quantum Devices";
Talk: MRS Spring Meeting,
San Francisco, USA;
2010-04-05
- 2010-04-09.
-
L. Burgstaller, C. Schwarzer, S. Ahn, W. Schrenk, W. Charles, C. Gmachl, G. Strasser:
"Substrate emitting ring cavity quantum cascade lasers";
Talk: ÖPG-Jahrestagung,
Graz;
2012-09-18
- 2012-09-21.
-
L. Burgstaller, C. Schwarzer, S. Ahn, W. Schrenk, G. Strasser:
"Optimizations for broadband emitting ring cavity quantum cascade lasers arrays";
Talk: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
2012-06-26
- 2012-06-27.
-
S. Bychikhin, V. Dubec, D. Pogany, E. Gornik, M. Graf, V. Dudek, W. Soppa:
"Transient interferometric mapping of smart power SOI ESD protection devices under TLP and vf-TLP stress";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Zürich, Schweiz;
2004-10-04
- 2004-10-08.
-
S. Bychikhin, G. Haberfehlner, J. Rhayem, D. Vanderstraeten, R. Gillon, D. Pogany:
""Investigation of smart power DMOS devices under repetitive stress conditions using transient thermal mapping and numerical simulation";
Poster: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Italy;
2010-10-11
- 2010-10-15.
-
S. Bychikhin, M. Litzenberger, R. Pichler, D. Pogany, E. Gornik, G. Groos, M. Stecher:
"Thermal and free carrier laser interferometric mapping and failure analysis of anti-serial smart power ESD protection structures";
Poster: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bourdeaux, France;
2001-11-01
- 2001-11-05.
-
S. Bychikhin, T. Swietlik, T. Suski, S. Porowski, P. Perlin, D. Pogany:
"Thermal Analysis of InGaN/GaN(GaN substrate) Laser Diodes using Transient Interferometric Mapping";
Poster: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, Frankreich;
2007-10-08
- 2007-10-12.
-
M. Capriotti, A. Alexewicz, O. Bethge, D. Visalli, J. Derluyn, C. Fleury, E. Bertagnolli, D. Pogany, G. Strasser:
"Role of In-Situ Grown SiN Passivation for E-Mode AlGaN/GaN MOSHEMTS on silicon substrate for efficient power converters";
Poster: Italien Crystal Growth,
Parma, Italien;
2013-11-14
- 2013-11-15.
-
M. Capriotti, A. Alexewicz, C. Fleury, J. Derluyn, D. Visalli, D. Pogany, G. Strasser:
"Different layer designs for normally-off GaN HEMTs with ultrathin AlN barrier, GaN cap and in situ SiN passivation";
Talk: WOCSDICE-EXMATEC 2014,
Delphi;
2014-06-15
- 2014-06-20.
-
M. Capriotti, A. Alexewicz, A. Parlar, A. Brunner, A. M. Andrews, D. Pogany, G. Strasser:
"Comparison of MOS and Schottky-barrier structure in AlGaN/GaN HEMTs";
Talk: ÖPG-Jahrestagung,
Graz;
2012-09-18
- 2012-09-21.
-
M. Capriotti, E. Bahat-Treidel, C. Fleury, O. Bethge, F. Brunner, O. Hilt, J. Würfl, D. Pogany, G. Strasser:
"High performances normally-off AlGaN/GaN True-MOS with sub-micrometric gate features";
Talk: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
2015-09-01
- 2015-09-04.
-
M. Capriotti, O. Bethge, C. Fleury, A. Alexewicz, E. Bertagnolli, D. Pogany, G. Strasser:
"Gate dielectric in GaN-based Metal Oxide Semiconductor High Electron Mobility Transistors: an overview on technology, issues and limitations";
Talk: FBH-Institutskolloquium,
Berlin;
2014-10-10.
-
M. Capriotti, P. Lagger, C. Fleury, R. Stradiotto, M. Oposich, C. Ostermaier, G. Strasser, D. Pogany:
"Effect of III-N Barrier Resistance on CV Characteristics in GaN-based MOSHEMTs in Spill-Over Regime";
Poster: International Workshop on Nitride Semiconductors (IWN 2014),
Wroclaw;
2014-08-24
- 2014-08-29.
-
F. Carosella, R. Ferreira, G. Strasser, K. Unterrainer, G. Bastard:
"Interface defects controlled broadening of Landeau levels in multiple QW structures";
Talk: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
2010-07-25
- 2010-07-30.
-
F. Carosella, R. Ferreira, G. Strasser, K. Unterrainer, G. Bastard:
"Intersubband magneto-optical transitions in multiple QW structures with disordered thin barriers";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
2010-08-30
- 2010-09-03.
-
R. Cecchini, S. Selmo, S. Cecchi, C. Wiemer, M. Fanciulli, E. Rotunno, L. Lazzarini, M. Rigato, D. Pogany, A. Lugstein, M. Longo:
"Synthesis and electrical analysis of phase change In3Sb1Te2 nanowire-based devices with low power switching";
Talk: European Phase-Change and Ovonics Symposium,
Aachen, Deutschland;
2017-09-03
- 2017-09-05.
-
R. Cecchini, S. Selmo, C. Wiemer, M. Fanciulli, E. Rotunno, L. Lazzarini, M. Rigato, D. Pogany, A. Lugstein, M. Longo:
"In-doped Sb nanowires grown by MOCVD for high speed phase change memories";
Talk: Materials for advanced metallizations (MAM),
Milan;
2018-03-18
- 2018-03-21.
-
J. Chauveau, N. Le Biavan, M. Hugues, D. Lefebvre, E. Frayssinet, P. de Mierry, Y. Cordier, M. Montes Bajo, J. Tamayo-Arriola, A. Hierro, A. Jollivet, M. Tchernycheva, F.H. Julien, B. Hinkov, G. Strasser, B. Meng, J. Faist:
"Wide Band Gap Semiconductors for THz Quantum Cascade Lasers and Detectors";
Talk: Progress in Electromagnetics Research Symposium (PIERS),
Paris;
2019-06-17
- 2019-06-20.
-
R. Chen, Lin Y., J. Song, D. Eason, G. Strasser, J. Bird:
"Memristor Functionality of Strongly-Depleted Semiconductor Nanoconstrictions";
Talk: EDISON 17,
Santa Barbara, USA;
2011-08-07
- 2011-08-12.
-
K. Cico, M. Jurkovic, D. Gregusova, J. Kuzmik, A. Alexewicz, M. di Forte Poisson, D. Pogany, G. Strasser, S. Delage, K. Fröhlich:
"Impact of forming gas annealing on electrical characteristics of InAlN/GaN high electron mobility transistor with Al2O3 gate insulation and passivation";
Poster: Conference of Nitride Semiconductors (ICNS),
Glasgow, UK;
2011-07-10
- 2011-07-15.
-
M. Coquelin, A. M. Andrews, G. Strasser, P. Bakshi, E. Gornik:
"THz emission from resonant intersubband plasmons";
Talk: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
2010-07-25
- 2010-07-30.
-
M. Coquelin, C Pacher, M. Kast, G. Strasser, E. Gornik:
"Interlevel crossing in double period superlattices";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
2004-07-26
- 2004-07-30.
-
M. Coquelin, C. Pacher, M. Kast, G. Strasser, E. Gornik:
"Transport studies on double period superlattices utilizig Hot Electron Spectroscopy";
Poster: 26th Int. Conf. on the Physics of Semiconductors,
Edingburgh, Scotland;
2002-07-29
- 2002-08-02.
-
M. Coquelin, R. Zobl, G. Strasser, E. Gornik, P. Bakshi, M. Heiblum:
"THz Emission based on Intersubband Plasmon Resonances";
Talk: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01.
-
S. Cwik, P. Taus, M. Shawrav, D. Peeters, H. D. Wanzenböck, A. Devi:
"Application of tailored metal-organic precursors in Focused Electron Beam Induced Deposition (FEBID) of Iron Oxides (FeOX): Fe(tbaoac)3 and Fe(pki)2";
Talk: CELINA,
Krakow, Polen;
2016-05-18
- 2016-05-20.
-
A. Dabrowska, M. David, A. Schwaighofer, S. Freitag, A. M. Andrews, G. Strasser, B. Hinkov, B. Lendl:
"Broadband Mid-Infrared Sensor employing a Quantum Cascade Laser and a Quantum Cascade Detector for Milk Protein Analysis";
Talk: ICAVS 11,
Poland;
2021-08-23
- 2021-08-26.
More information
-
A. Dabrowska, M. David, A. Schwaighofer, S. Freitag, A. M. Andrews, G. Strasser, B. Hinkov, B. Lendl:
"Broadband Mid-Infrared Spectroscopy employing a Quantum Cascade Laser and a Quantum Cascade Detector for Milk Protein Analysis";
Poster: SCIX 2021,
Providence, Rhode Island, USA;
2021-09-26
- 2021-10-01.
More information
-
A. Dabrowska, M. David, A. Schwaighofer, S. Freitag, B. Hinkov, A. Harrer, G. Strasser, B. Lendl:
"Broadband laser-based mid-infrared spectroscopy employing a quantum cascade detector for protein analysis in aqueous solution";
Talk: FemChem Scientific Workshop 2021,
Wien;
2021-09-20.
More information
-
J. Darmo, R. Bratschitsch, T. Müller, G. Strasser, K. Unterrainer:
"Coherent THz emission from semiconductor heterosturctures";
Talk: International Conference on infrared and millimeter waves (IRMMW),
Toulouse, France;
2001-09-10
- 2001-09-14.
-
J. Darmo, J. Kröll, G. Fasching, A. Benz, K. Unterrainer, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"Active photonics structures for terhaertz frequencies";
Talk: 11th International Workshop on Applied Physics of Condensed Matter,
Mala Lucivna, Slovakia;
2005-06-15
- 2005-06-17.
-
J. Darmo, J. Kröll, T. Müller, G. Strasser, K. Unterrainer:
"Cavity enhanced THz generation";
Talk: Terahertz and Gigahertz Electronics and Photonics III, part of the Photoncs West Symposium Optoelectronics 2004: Integrated Optoelectronics Devices,
San Jose, USA (invited);
2004-01-24
- 2004-01-29.
-
J. Darmo, J. Kröll, G. Strasser, C. Kremser, K. Unterrainer:
"Few-cycle THz properties of bio-molecules";
Talk: 11th International Conference of Terahertz Electronics,
Sendai, Japan;
2003-09-24
- 2003-09-26.
-
J. Darmo, J. Kröll, G. Strasser, K. Unterrainer:
"Linear spectroscopy and imgaging with compact Terahertz sources";
Talk: Terahertz Workshop 2004 Technology and Application,
Freiburg, Germany (invited);
2004-02-17.
-
J. Darmo, J. Kröll, G. Strasser, K. Unterrainer, C. Kremser:
"Spectroscopy and imaging with few-cycle Terahertz radiation";
Talk: International Workshop on Applied Physics of Condensed Matter (APCOM) 2003,
Mala Lucivna, Slovakia;
2003-06-11
- 2003-06-13.
-
J. Darmo, T. Müller, G. Strasser, K. Unterrainer:
"Terahertz Generation in GaAs:As Photoconductive Emitters";
Talk: 12th Semiinsulating and Insulating Materials Conference,
Smolenice Castle, Slovakia (invited);
2002-06-30
- 2002-07-05.
-
J. Darmo, T. Müller, G. Strasser, K. Unterrainer, T. Le, A. Stingl:
"Bragg mirror enhanced THz antennas for intracavity THz generatiion and modelocking";
Talk: Workshop on Quantum Heterostructures and THz Electronics,
Regensburg, Deutschland (invited);
2003-01-23.
-
J. Darmo, T. Roch, G. Strasser, T. Müller, K. Unterrainer:
"Resonant-cavity based THz photoconductie emitters";
Talk: 12th Euro-MBE Workshop,
Bad Hofgastein, Österreich;
2003-02-16
- 2003-02-19.
-
J. Darmo, G. Strasser, T. Müller, K. Unterrainer:
"THz plasmon emission from an LT-GaAs/GaAs homojunction";
Talk: 26th Int. Conf. on the Physics of Semiconductors,
Edinburgh, Scottland;
2002-07-29
- 2002-08-02.
-
J. Darmo, G. Strasser, T. Roch, T. Müller, K. Unterrainer, G. Tempea:
"New generation photoconductive few-cycle terahertz emitters";
Talk: 11th International Conference of Terahertz Electronics,
Sendai, Japan;
2003-09-24
- 2003-09-26.
-
J. De Jaeger, C. Gaquière, Y. Douvry, N. Defrance, V. Hoel, S. Delage, M. di Forte-Poisson, N. Sarazin, E. Morvan, M. Alomari, E. Kohn, A. Dussaigne, J. Carlin, J. Kuzmik, C. Ostermaier, D. Pogany:
"Microwave Power Capabilities of InAlN/GaN HEMTs"";
Talk: 4th Microwave and Radar Week MRW-2010 (MIKON 2010),
Vilnius, Lithuania;
2010-06-14
- 2010-06-18.
-
H. Detz:
"Growth of GaAs Nanowires on Si Nanowires and Substrates";
Talk: University at Buffalo,
Buffalo, USA (invited);
2009-05-01.
-
H. Detz:
"Semiconductor nanowires: A platform for novel materials and advanced heterostructures";
Talk: 3rd Czech-French Barrande Workshop,
Ostrava (invited);
2019-10-02
- 2019-10-04.
-
H. Detz:
"THz Quantum Cascade Lasers: Materials Evaluation and Optimization";
Talk: 27th International Conference on Advanced Laser Technologies (ALT´19),
Prag (invited);
2019-09-15
- 2019-09-20.
-
H. Detz, A. M. Andrews, P. Klang, C. Deutsch, M. Nobile, W. Schrenk, K. Unterrainer, G. Strasser:
"MBE growth of InGaAs/GaAsSb based mid-infrared and THz quantum cascade lasers";
Talk: EURO-MBE Workshop,
L´Alpe d´Huez, France;
2011-03-20
- 2011-03-23.
-
H. Detz, A. M. Andrews, P. Klang, A. Lugstein, M. Schramböck, M. Steinmair, Y.J. Hyun, E. Bertagnolli, W. Schrenk, G. Strasser:
"Growth of one-dimensional III-V structures on Si nanowires and pre-treated plane Si surfaces";
Talk: 15th Int. Conference on MBE,
Vancouver, Canada;
2008-08-03
- 2008-08-08.
-
H. Detz, C. Deutsch, P. Klang, M. Nobile, A. Benz, A. M. Andrews, G. Hesser, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb Quantum Cascade Lasers grown by MBE";
Talk: 16th International Conference on Molecular Beam Epitaxy,
Berlin/Deutschland;
2010-08-22
- 2010-08-27.
-
H. Detz, C. Deutsch, M. Schuster, M. Nobile, P. Klang, T. Zederbauer, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Interface Analysis in Symmetric InGaAs/GaAsSb THz Quantum Cascade Lasers";
Talk: North American Conf. on Molecular Beam Epitaxy (NAMBE),
San Diego, USA;
2011-08-14
- 2011-08-17.
-
H. Detz, C. Deutsch, T. Zederbauer, D. MacFarland, M. Krall, M. Brandstetter, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Growth Optimization Using Symmetric Quantum Cascade Laser Active Regions";
Talk: North American Conf. on Molecular Beam Epitaxy (NAMBE),
Banff, Canada;
2013-11-05
- 2013-11-11.
-
H. Detz, P. Klang, A. M. Andrews, Y.J. Hyun, A. Lugstein, W. Schrenk, G. Strasser:
"Epitaxial III-V Nanowire Growth on Planar Si Surfaces";
Poster: MRS Fall Meeting,
Boston, USA;
2008-12-01
- 2008-12-05.
-
H. Detz, P. Klang, A. M. Andrews, Y.J. Hyun, M. Steinmair, A. Lugstein, E. Bertagnolli, W. Schrenk, G. Strasser:
"Epitaxial growth of GaAs needles on Si nanowires and planar surfaces";
Poster: NODE Summer School,
Cortona, Italy;
2008-07-01
- 2008-07-05.
-
H. Detz, P. Klang, M. Nobile, A. M. Andrews, E. Mujagic, S. Schartner, W. Schrenk, G. Strasser:
"Growth of the Al-free Material System InGaAs/GaAsSb for Optoelectronic Intersubband Devices";
Talk: North American Conf. on Molecular Beam Epitaxy (NAMBE),
Princeton, USA;
2009-08-09
- 2009-08-12.
-
H. Detz, S. Lancaster, M. Potocek, D. MacFarland, T. Zederbauer, W. Schrenk, A. M. Andrews, G. Strasser:
"Boron Incorporation into BGaAs for Strain Engineering";
Poster: German MBE Workshop,
Würzburg;
2019-10-07
- 2019-10-08.
-
H. Detz, E. Mujagic, C. Schwarzer, T. Zederbauer, P. Klang, A. M. Andrews, W. Schrenk, C. Deutsch, K. Unterrainer, G. Strasser:
"Ring Cavity Induced Performance Enhancement in Mid-Infrared and Terahertz Quantum Cascade Lasers";
Talk: 60th Annual Meeting Austrian Physical Society,
Salzburg;
2010-09-06
- 2010-09-10.
-
H. Detz, M. Nobile, C. Deutsch, P. Klang, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb material system for optoelectronic intersubband devices";
Talk: International Symposium on Compound Semiconductors (ISCS),
Berlin, Deutschland;
2011-05-22
- 2011-05-26.
-
H. Detz, M. Nobile, P. Klang, A. M. Andrews, C. Deutsch, W. Schrenk, K. Unterrainer, G. Strasser:
"InGaAs/GaAsSb MBE Growth and Quantum Cascade Lasers";
Talk: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
2011-01-19
- 2011-01-20.
-
H. Detz, G. Strasser:
"Atomistic Modeling of Bond Lengths in Ternary III-V Semiconductor Alloy";
Talk: 32nd International Conference on the Physics of Semiconductors (ICPS2014),
Austin;
2014-08-10
- 2014-08-15.
-
H. Detz, G. Strasser:
"Atomistic Modeling of Structural Properties in III-V Semiconductor Materials";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2014-02-23
- 2014-02-28.
-
H. Detz, T. Zederbauer, P. Klang, A. M. Andrews, M. Nobile, M. Schuster, C. Deutsch, M. Brandstetter, W. Schrenk, K. Unterrainer, G. Strasser:
"Improved InGaAs/GaAsSb MBE Growth of Quantum Cascade Lasers";
Poster: GMe Forum 2012,
Wien;
2012-03-29
- 2012-03-30.
-
C. Deutsch, A. Benz, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Terahertz quantum cascade lasers: designs of the active region and microcavities";
Talk: THz Frischlinge Meeting Berlin 2009,
Berlin, Deutschland;
2009-06-07
- 2009-06-10.
-
C. Deutsch, A. Benz, G. Fasching, K. Unterrainer, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Terahertz Quantum Cascade Lasers-Bandstructure Optimizations and Surface Emitting Cavities";
Talk: Coqus Retreat 2009,
Traunkirchen;
2009-09-04
- 2009-09-06.
-
C. Deutsch, M. Brandstetter, M. Krall, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Terahertz Quantum Cascade Lasers: From Growth Asymmetries Towards Watt-level Performance";
Poster: 20 Years of Quantum Cascade Laser Workshop,
Zürich, Schweiz;
2014-01-16
- 2014-01-17.
-
C. Deutsch, M. Brandstetter, M. Krall, T. Zederbauer, G. Strasser, K. Unterrainer:
"Novel THz quantum cascade laser active materials and designs";
Talk: Photonics West,
San Francisco, USA (invited);
2014-02-01
- 2014-02-06.
-
C. Deutsch, H. Detz, A. Benz, A. M. Andrews, P. Klang, W. Schrenk, K. Unterrainer, G. Strasser:
"Terahertz quantum cascade lasers based on symmetric InGaAs/GaAsSb active regions";
Talk: SPIE Photonics West 2012,
San Franciso, California, USA;
2012-01-21
- 2012-01-26.
-
C. Deutsch, H. Detz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser, A. Benz, K. Unterrainer:
"InGaAs/GaAsSb: a promising material system for terahertz quantum cascade lasers";
Talk: Gemeinsame Jahrestagung von SPG, ÖPG, SGAA und ÖGAA in Lausanne,
Lausanne;
2011-06-15
- 2011-06-17.
-
C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, T. Kubis, W. Schrenk, G. Strasser, K. Unterrainer:
"Enhanced performance of terahertz quantum cascade lasers in reverse operating direction";
Talk: Int. Conf. on Superlattices, Nanostructures and Nanodevices (ICSNN),
Dresden, Deutschland;
2012-07-22
- 2012-07-27.
-
C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, A. Benz, G. Strasser, K. Unterrainer:
"Operating direction of terahertz quantum cascade lasers";
Talk: OSA Topical Meetings: Optical Terahertz Science and Technology,
Prag;
2012-06-17
- 2012-06-20.
-
T. Dimopoulos, J. Kaur, O. Bethge, E. Bertagnolli:
"Solar cells with electrodeposited Cu2O absorber for low cost photovoltaics";
Talk: Nanoenergy,
Liverpool, UK;
2015-07-27
- 2015-07-29.
-
T. Dimopoulos, J. Kaur, O. Bethge, E. Bertagnolli:
"Solar cells with electrodeposited Cu2O absorber for low-cost photovoltaics";
Poster: Nanoenergy,
Manchester, UK;
2015-06-01
- 2015-06-03.
-
H. Dodt:
"Hirnforschung und Laserphysik: Auf dem Weg zum gläsernen Gehirn";
Talk: Zentrum für biomedizinische Technik und Physik,
Wien;
2007-05-31.
-
H. Dodt:
"imaging of cleared biological samples with the ultramiscroscope";
Talk: Neuroscience,
San Diego;
2018-11-03
- 2018-11-07.
-
H. Dodt:
"The glass brain: Visualization of neuronal networks in the whole mouse brain and of mouse embryos by ultramicroscopy";
Talk: Max-Planck-Institut für Immunbiologie,
Freiburg, Deutschland;
2007-09-17.
-
H. Dodt:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by chemical means";
Talk: Howard Hughes Medical Institute,
Washington, USA;
2007-10-15.
-
H. Dodt:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by ultramicroscopy";
Talk: Max-Planck-Institut für medizinische Forschung,
Heidelberg, Deutschland;
2007-06-18.
-
H. Dodt:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by ultramicroscopy";
Talk: Doktorandenkolleg der TU,
TU Wien;
2008-06-09.
-
H. Dodt:
"Ultramicroscopy of Cleared Brains and Tumors";
Talk: Global Engange´s Microscopy Congress 2015,
Magdeburg, Deutschland;
2015-11-30
- 2015-12-01.
-
H. Dodt:
"Ultramicroscopy of cleared samples";
Talk: Light Sheet Microscopy Practical Course 2014,
Dresden;
2014-08-18
- 2014-08-29.
-
H. Dodt:
"Visualization of neuronal networks in the mouse brain and mouse embryos by ultramicroscopy";
Talk: IBRO World Congress of Neuroscience,
Florence, Italy;
2011-07-14
- 2011-07-18.
-
H. Dodt, C. Auer, N. Jährling, M. Eder, C. Mauch, W. Zieglgänsberger, U. Leischner, K. Becker:
"Ultramicroscopy: A tool for imaging large preparations such as whole mouse brains";
Talk: FOM-Focus on Microscopy Conference,
Valencia, Spanien;
2007-04-10
- 2007-04-13.
-
H. Dodt, K. Becker, C. Hahn, N. Jährling, S. Saghafi:
"Imaging of cleared biological samples with the Ultramicroscope";
Talk: 1st LightSheet Fluorescence Microscopy International Conference,
Barcelona;
2014-09-25
- 2014-09-26.
-
H. Dodt, K. Becker, C. Hahn, N. Jährling, S. Saghafi:
"Optics for the imaging of cleared samples";
Poster: Annual Meeting Society of Neuroscience,
Washington DC;
2014-11-15
- 2014-11-19.
-
H. Dodt, K. Becker, C. Hahn, S. Saghafi:
"Imaging of cleared biological samples with the Ultramicroscope";
Talk: International Symposium FRONTIERS In Neurophotonics,
Domaine du Haut‐Carré, Talence, France;
2013-10-01
- 2013-10-04.
-
H. Dodt, K. Becker, C. Hahn, S. Saghafi:
"Improved Imaging of cleared biological samples with the ultramicroscope";
Poster: 9th FENS Forum of Neuroscience,
Mailand;
2014-09-05
- 2014-09-07.
-
H. Dodt, C. Hahn, N. Jährling, S. Saghafi, K. Becker:
"Visualization of neuronal networks in the mouse brain, spinal cord and mouse embryos by ultramicroscopy";
Talk: The 16th Spinal Research Network Meeting,
London;
2014-09-05
- 2014-09-06.
-
H. Dodt, N. Jährling, C. Mauch, K. Becker:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by ultramicroscopy";
Talk: SPIE Europe Photonics Europe Conference,
Strasbourg, France;
2008-04-07
- 2008-04-10.
-
H. Dodt, N. Jährling, C. Mauch, U. Leischner, A. Schierloh, M. Eder, W. Zieglgänsberger, K. Becker:
"The glass brain: Visualization of neuronal networks in the whole mouse brain by ultramicroscopy";
Talk: Topical problems of Biophotonics,
Moscow, Russia;
2007-08-04
- 2007-08-11.
-
H. Dodt, N. Jährling, S. Saghafi, C. Hahn, K. Becker:
"Visualisation of neuronal networks in the mouse brain and mouse embryos by ultramicroscopy";
Talk: 2nd International Summer School of the SFB 944,
Osnabrück;
2014-07-23
- 2014-07-25.
-
H. Dodt, N. Jährling, S. Saghafi, S. Kalchmair, K. Becker:
"Visualization of Neuronal networks in the mouse brain and mouse embryos by ultramicroscopy";
Talk: Graduate School Meeting,
Münster, Deutschland;
2011-05-11
- 2011-05-13.
-
H. Dodt, N. Jährling, C. Schönbauer, F. Schnorrer, K. Becker:
"Ultramicroscopy: 3D-reconstruction of Drosophila´s inner anatomy";
Poster: Neuroscience 2010,
San Diego;
2010-11-13
- 2010-11-17.
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, M. Pende, I. Sabdyusheva Litschauer, M. Wanis, S. Foroughpour:
"Fast recording of whole mouse brains with aspheric light sheet microscopy";
Poster: International ELMI meeting,
Dubrovnik, Kroatien;
2017-05-23
- 2017-05-26.
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, M. Pende, I. Sabdyusheva-Litschauer, M. Foroughipour:
"Fast isotropic imaging of whole mouse brains with ultramicroscopy by breaking the diffraction limit of light sheets";
Poster: 11th FENS Forum of Neuroscience,
Berlin, Deutschland;
2018-07-07
- 2018-07-11.
More information
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, M. Pende, M. Wanis, I. Sabdyusheva Litschauer:
"Rapid imaging of whole mouse brains by asperic light sheet microscopy";
Poster: Forum of Neuroscience,
Copenhagen, Denmark;
2016-07-02
- 2016-07-06.
-
H. Dodt, S. Saghafi, K. Becker, C. Hahn, I. Sabdyusheva Litschauer, M. Pende, M. Wanis, S. Foroughpour:
"10 Years Of Ultramicroscopy: Optics, Brains And Cancer";
Talk: Focus on Microscopy (FOM),
Bordeaux, France (invited);
2017-04-09
- 2017-04-12.
-
H. Dodt, S. Saghafi, K. Becker, M. Pende, C. Hahn, I. Sabdyusheva Litschauer, M. Wanis:
"Isotropic recording of whole mouse brains by light sheet microscopy breaking the diraction limit";
Poster: Neuroscience,
San Diego, USA;
2017-11-03
- 2017-11-07.
-
H. Dodt, S. Saghafi, C. Hahn, K. Becker, M. Pende, I. Sabdyusheva Litschauer, M. Wanis:
"94.01 / KKK44 - Fast recording of whole mouse brains with aspheric light sheet microscopy";
Poster: Neuroscience,
San Diego, USA;
2016-11-12
- 2016-11-16.
-
H. Dodt, S. Saghafi, C. Hahn, K. Becker, M. Pende, I. Sabdyusheva Litschauer, M. Wanis:
"Fast recording of whole mouse brains with aspheric light sheet microscopy";
Talk: Exner Lecture,
Wien;
2016-11-09.
-
H. Dodt, J. Schenkel:
"Advances in fluorescence microscopy";
Talk: 47. Wissenschaftliche Tagung der Gesellschaft für Versuchsttiere GV-SOLAS,
Wien;
2009-09-13
- 2009-09-15.
-
V. Dubec, S. Bychikhin, M. Blaho, M. Heer, D. Pogany, E. Gornik, M. Denison, N. Jensen, M. Stecher, G. Groos:
"Multiple-time-instant 2D thermal mapping during a single ESD event";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Zürich, Schweiz;
2004-10-04
- 2004-10-08.
-
V. Dubec, S. Bychikhin, M. Blaho, D. Pogany, E. Gornik, J. Willemen, N. Qu, W. Wilkening, L. Zullino, A. Andreini:
"A dual-beam Michelson interferometer for investigation of trigger dynamics in ESD protection devices under very fast TLP stress";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, France;
2003-10-06
- 2003-10-10.
-
V. Dubec, S. Bychikhin, D. Pogany, E. Gornik, T. Brodbeck, W. Stadler:
"Backside Interferometric Methods for Localization of ESD-Induced Leakage Current and Metal Shorts";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, Frankreich;
2007-10-08
- 2007-10-12.
-
C. Ebm, M. Budil, G. Hobler:
"oeAssessment of approximations for efficient topography simulation of ion beam processes: 10 keV Ar on Si";
Talk: 9th International Conference on Simulation of Radiation Effects in Solids,
Beijing, China;
2008-10-12
- 2008-10-17.
-
A. Edelmann, J. Frank, W. Schrenk, E. Gornik, G. Strasser, B. Lendl:
"Quantum Cascade Lasers used for powerful mid-infrared detection in High Performance Liquid Chromatography";
Poster: 7th International Symposium on Advances in Analytical Separation Science,
Pörtschach (Austria) (invited);
2002-06-03
- 2002-06-05.
-
L. Einkemmer, P. Mai, S. Mathias, Z. Vörös, G. Weihs, A. M. Andrews, H. Detz, G. Strasser, K. Winkler, A. Forchel, C. Schneider, S. Höfling, M. Kampel:
"Parametric polariton scattering in quantum wires and coupled planar microcavities";
Poster: CLEO Europe 2013,
Munich;
2013-05-12
- 2013-05-16.
-
T. Elsaesser, R. A. Kaindl, F. Eickemeyer, K. Reimann, M. Woerner, S. Barbieri, C. Sirtori, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer, R. Hey:
"Ultrafast intersubband coherences in quantum wells and quantum cascade structures";
Talk: OSA Nonlinear Optics Confernce,
Maui, USA (invited);
2002-07-29
- 2002-08-02.
-
T. Elsaesser, R. A. Kaindl, F. Eickemeyer, K. Reimann, M. Woerner, S. Barbieri, C. Sirtori, G. Strasser, T. Müller, R. Bratschitsch, K. Unterrainer, R. Hey, K. H. Ploog:
"Ultrafast intersubband coherences in semiconductor quantum wells and quantum cascade structures";
Talk: Materials Research Society Fall Meeting (MRS),
Boston, USA (invited);
2002-12-02
- 2002-12-06.
-
F. Elsholz, A. Wacker, E. Schöll, C. Pacher, C. Rauch, G. Strasser, E. Gornik:
"Magnetotransport durch rauhe Halbleiterübergitter";
Poster: Deutsche Physikalische Gesellschaft (DPG), Frühjahrstagung des Arbeitskreises Festkörperphysik,
Regensburg, Germany;
2000-03-27
- 2000-03-31.
-
C.M. Engelhardt, G. Schindler, W. Steinhögl, G. Steinlesberger:
"Challenges of Interconnection Technology Till the End of the Roadmap and Beyond";
Talk: MAM 2002,
Vaals, The Netherlands;
2002-03-04
- 2002-03-06.
-
G. Fasching, A.M. Andrews, A. Benz, K. Unterrainer, R. Zobl, T. Roch, W. Schrenk, G. Strasser, V Tamosiunas:
"Sub-wavelength terahertz quantum-cascade laser resonators";
Talk: CLEO/QELS and PhAST 2006,
Long Beach California, USA;
2006-05-21
- 2006-05-26.
-
G. Fasching, A. Benz, A. M. Andrews, K. Unterrainer, R. Zobl, T. Roch, W. Schrenk, G. Strasser, V Tamosiunas:
"Limits of Strong Mode Confinement in Microdisk Terahertz Quantum-Cascade Lasers";
Poster: CLEO/QELS,
Baltimore, USA;
2007-05-08
- 2007-05-10.
-
G. Fasching, A. Benz, C. Deutsch, A. M. Andrews, K. Unterrainer, R. Zobl, P. Klang, W. Schrenk, V Tamosiunas:
"Controlled Coupling of Terahertz Lasing Modes in Microdisk Photonic Molecules";
Talk: CLEO/QELS and PhAST 2008,
San Jose McEnery Convention Center, California,USA;
2008-05-04
- 2008-05-09.
-
G. Fasching, A. Benz, C. Deutsch, A. M. Andrews, R. Zobl, P. Klang, W. Schrenk, K. Unterrainer, V Tamosiunas:
"Microcavity THz QCLs: From single -mode to molecule mode emission";
Talk: 4th ADLIS Workshop,
Obergurgl, Austria;
2008-02-02
- 2008-02-03.
-
G. Fasching, A. Benz, C. Deutsch, K. Unterrainer, A.M. Andrews, P. Klang, R. Zobl, W. Schrenk, V. Tamosiunas:
"Microcavity quantum cascade lasers: Confinement and coupling in the terahertz spectral range";
Talk: IOCD Terahertz Seminar,
Santa Barbara, Californien, USA (invited);
2008-05-02.
-
G. Fasching, A. Benz, C. Deutsch, R. Zobl, A.M. Andrews, P. Klang, W. Schrenk, K. Unterrainer, V Tamosiunas:
"Microcavity quantum-cascade lasers:Confinement and coupling in the terahertz spectral range";
Talk: Complex Quantum Systems,
Wien (invited);
2008-04-14.
-
G. Fasching, A. Benz, J. Kröll, J. Darmo, C. Deutsch, A.M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz quantum-cascade lasers: micro cavity effects, doping dependence and loss";
Talk: Reunion du GDR europeen Semiconductor sources and detectors of THz radiation,
Bordeaux, France (invited);
2007-05-31
- 2007-06-03.
-
G. Fasching, A. Benz, J. Kröll, J. Darmo, V Tamosiunas, R. Zobl, A.M. Andrews, T. Roch, W. Schrenk, G. Strasser, K. Unterrainer:
"Design and applications of THz quantum-cascade lasers";
Talk: Montanuniversität Leoben,
Leoben, Austria (invited);
2005-11-10.
-
G. Fasching, F. Schrey, W. Brezna, T. Roch, A.M. Andrews, W. Schrenk, J. Smoliner, G. Strasser, K. Unterrainer:
"Photoconductivity response of QDs in the MIR/NIR";
Talk: SANDIE task force meeting,
Berlin, Germany;
2005-01-13
- 2005-01-14.
-
G. Fasching, R. Zobl, V Tamosiunas, T. Roch, A.M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz-Laser";
Talk: ADLIS workshop,
Traunkirchen, Austria;
2005-02-27
- 2005-03-01.
-
M. Fischer, J. Gottsbachner, S. Müller, E. Bertagnolli, H. D. Wanzenböck:
"Electron Beam Induced Processes for Repairing Defects on Quartz Masks";
Talk: Symposium of the American Vacuum Society,
Boston, USA;
2005-10-30
- 2005-11-04.
-
M. Fischer, J. Gottsbachner, S. Müller, W. Brezna, M. Schramböck, H. D. Wanzenböck, E. Bertagnolli:
"Local FEB-CVD of Nanostructures";
Talk: Meeting of the Electrochemical Society (ECS),
Los Angeles, California, USA;
2005-10-16
- 2005-10-21.
-
C. Fleury, M. Capriotti, O. Hilt, J. Würfl, G. Strasser, D. Pogany:
"Temperature extraction in Normally-Off AlGaN/GaN HEMTs using Transient Interferometric Mapping0";
Talk: WOCSDICE-EXMATEC 2014,
Delphi;
2014-06-15
- 2014-06-20.
-
C. Fleury, M. Capriotti, M. Rigato, O. Hilt, J. Würfl, J. Derluyn, S. Steinhauer, A. Köck, G. Strasser, D. Pogany:
"High temperature performances of normally-off p-GaN gate AlGaN/GaN HEMTs on SiC and Si substrates for power applications";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Toulouse, France;
2015-10-05
- 2015-10-09.
-
C. Fleury, M. Capriotti, M. Rigato, O. Hilt, J. Würfl, J. Derluyn, G. Strasser, D. Pogany:
"Vertical breakdown in AlGaN/GaN high electron mobility transistors";
Talk: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
2015-09-01
- 2015-09-04.
-
C. Fleury, G. Notermans, H. Ritter, D. Pogany:
"TIM, EMMI and 3D TCAD analysis of discrete-technology SCRs";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bordequx, Frankreich;
2017-09-25
- 2017-09-28.
-
C. Fleury, M. Rigato, W. Simbürger, D. Pogany:
"Transient Interferometric Mapping of SiGe-base RF BJTs in 0,35 μm B7HFV technology under ESD stress";
Poster: Infineon University Evening 2014,
München;
2014-11-06.
-
C. Fleury, W. Simbürger, D. Pogany:
"Effect of TLP rise time on ESD failure modes of collector-base junction of SiGe heterojunction bipolar transistors";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Toulouse;
2019-09-23
- 2019-09-26.
-
C. Fleury, R. Zhytnytska, S. Bychikhin, M. Cappriotti, O. Hilt, D. Visalli, G. Meneghesso, E. Zanoni, J. Würfl, J. Derluyn, G. Strasser, D. Pogany:
"Statistics and localisation of vertical breakdown in AlGaN/GaN HEMTs on SiC and Si substrates for power applications";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, France;
2013-09-30
- 2013-10-04.
-
F. Frank, B. Baumgartner, M. David, C. Doganlar, G. Strasser, B. Hinkov, G. Ramer, B. Lendl:
"Development of a micromolar sensitivity dipstick mid-IR ATR sensor for phosphate in water";
Poster: ICAVS 11,
Online;
2021-08-23
- 2021-08-26.
-
C. Fürböck, K. Esmark, M. Litzenberger, D. Pogany, G. Groos, R. Zelsacher, M. Stecher, E. Gornik:
"Thermal and free carrier concentration mapping during ESD event in Smart Power ESD protection devices using a modified laser interferometry technique";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Dresden, Germany;
2000-10-02
- 2000-10-06.
-
M. M. Furchi, A. Urich, A. Pospischil, G. Lilley, K. Unterrainer, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, T. Müller:
"Cavity Enhanced Graphene Photodetector";
Poster: GMe Forum 2012,
Wien;
2012-03-29
- 2012-03-30.
-
R. Gansch, S. Kalchmair, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal resonances in Quantum Well Infrared Photodetectors";
Talk: Seminar Princeton University,
Princeton, USA;
2011-04-19.
-
R. Gansch, S. Kalchmair, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Slab Quantum Well Infrared Photodetector";
Talk: ÖPG-Jahrestagung,
Lausanne, Schweiz;
2011-06-15
- 2011-06-17.
-
R. Gansch, S. Kalchmair, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Slab Quantum Well Infrared Photodetector";
Poster: Einsteins in the City 2011,
New York, USA;
2011-04-13
- 2011-04-15.
-
R. Gansch, S. Kalchmair, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Resonantly enhanced absorption in photonic crystal slab quantum well infrared photodetectors";
Talk: Institut für Physik, Montanuniversität Leoben,
Leoben (invited);
2011-06-21.
-
R. Gansch, S. Kalchmair, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Experimental verification of the revised plane wave expansion method for photonic crystal slabs";
Talk: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
2011-01-19
- 2011-01-20.
-
R. Gansch, S. Kalchmair, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Impedance matched resonant cavities for quantum well infrared photodetectors";
Talk: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
2012-09-02
- 2012-09-06.
-
R. Gansch, S. Kalchmair, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Resonant cavity enhanced quantum well infrared photodetectors showing improved temperature performance";
Poster: International Conference on Physics of Semiconductor (ICPS),
Zürich, Schweiz;
2012-07-29
- 2012-08-03.
-
R. Gansch, S. Kalchmair, P. Reininger, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Responsivity enhancement of QWIPs by photonic crystal slabs";
Talk: Photonics West,
San Francisco, USA;
2012-01-21
- 2012-01-26.
-
M. Gavagnin, D. Belic, M. Shawrav, E. Bertagnolli:
"Magnetic Force Microscopy Study of Shape-Engineered EBID Iron Nanostructures";
Poster: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
2013-05-27
- 2013-05-31.
-
M. Gavagnin, H. D. Wanzenböck, D. Belic, E. Bertagnolli:
"Iron Nanomagnets Arrays deposited by FEBID as Novel Nanofabrication Approach for Nanomagnet Logic Technology";
Talk: ENHANCE Winter School,
Eindhoven, Niederlande;
2013-01-14
- 2013-01-17.
-
M. Gavagnin, H. D. Wanzenböck, D. Belic, M. Shawrav, E. Bertagnolli:
"Electron beam induced deposition of iron-based nanowires for nanomagnet logic application";
Talk: Austrian Society for Electron Microscopy Workshop,
Vienna;
2013-04-25
- 2013-04-26.
-
M. Gavagnin, H. D. Wanzenböck, D. Belic, M. Shawrav, M. Stöger-Pollach, A. Steiger-Thirsfeld, E. Bertagnolli:
"Electron Beam Induced Deposition of Iron Nanomagnets as basic elements for Magnetic Sensors and NanoMagnet Logic devices";
Talk: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
2013-05-27
- 2013-05-31.
-
M. Gavagnin, H. D. Wanzenböck, E. Bertagnolli:
"Electron Beam Induced Deposition of Iron Nanomagnets as basic elements for Magnetic Sensors and NanoMagnet Logic devices";
Talk: Focused Electron Beam Induced Processing Workshop (FEBIP),
Zaragoza, Spanien;
2012-06-20
- 2012-06-21.
-
M. Gavagnin, H. D. Wanzenböck, E. Bertagnolli:
"Focused Electron Beam Induced Deposition as novel nanofabrication approach for ferromagnetic material";
Talk: ENHANCE Winter School,
Helsinki, Finland;
2012-01-09
- 2012-01-12.
-
M. Gavagnin, H. D. Wanzenböck, K. Gunnarson, P. Svedlindh, E. Bertagnolli:
"Magnetic switching behaviour of high-purity iron nanowires deposited by FEBID";
Poster: Focused Electron Beam Induced Processing Workshop (FEBIP),
Zaragoza, Spanien;
2012-06-20
- 2012-06-21.
-
M. Gavagnin, H. D. Wanzenböck, M. Shawrav, M. Stöger-Pollach, A. Steiger-Thirsfeld:
"Low momentum and high aspect ratio MFM probes fabricated by EBID";
Poster: International Conference Micro- and Nanoelectronics (ICMNE),
London, UK;
2013-09-16
- 2013-09-19.
-
M. Gavagnin, H. D. Wanzenböck, M. Stöger-Pollach, M. Shawrav, A. Steiger-Thirsfeld, E. Bertagnolli:
"Electron beam induced deposition of Fe-Co composites for nanomagnet logic technology";
Poster: International Conference Micro- and Nanoelectronics (ICMNE),
London, UK;
2013-09-16
- 2013-09-19.
-
M. Gavagnin, H. D. Wanzenböck, S. Waid, E. Bertagnolli:
"Focused Electron Beam Induced Deposition as novel nanofabrication approach for magnetic nanosensors and nanomagnet logic";
Talk: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Waikoloa, Hawaii;
2012-05-28
- 2012-05-31.
-
M. Gavagnin, H. D. Wanzenböck, S. Waid, E. Bertagnolli:
"Magnetic reversal of iron nanowires deposited by Focused Electron Beam Induced Deposition for nanomagnet logic application";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Waikoloa, Hawaii;
2012-05-28
- 2012-05-31.
-
J Genser, M. Bartmann, V Ritter, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Ultra-High Strain of Freestanding 2D Materials";
Talk: MRS Boston,
Boston;
2019-12-01
- 2019-12-06.
More information
-
S. Gianordoli, W. Schrenk, L. Hvozdara, G. Strasser, E. Gornik:
"GaAs/AlGaAs auperlattice - and strained InGaAs/GaAs/AlGaAs quantum cascade lasers";
Poster: International Conference on Physics of Semiconductor (ICPS),
Osaka;
2000-09-18
- 2000-09-22.
-
M Giparakis, M. A. Kainz, S. Schönhuber, B. Limbacher, H. Detz, M Beiser, W. Schrenk, A. M. Andrews, G. Strasser, G. Bastard, K. Unterrainer:
"Selective Emission of a THz QCL using a Magnetic Field";
Talk: German Molecular Beam Epitaxy 2019 (DEMBE2019),
Würzburg;
2019-10-07
- 2019-10-08.
-
M Giparakis, H. Knötig, H. Detz, M Beiser, B. Schwarz, W. Schrenk, G. Strasser, A. M. Andrews:
"Growth, Design, and Characterisation of an InAs/AlAsSb-based QCD at 2.7 μm";
Poster: German MBE Workshop,
virtuell;
2021-10-14
- 2021-10-15.
-
M. Glaser, R. Langegger, A. Lugstein, E. Bertagnolli:
"Near-infrared Photoluminescence of Nanostructured Graphite";
Talk: DPG-Frühjahrstagung 2012 (Spring Meeting of the Condensed Matter Section),
Berlin, Deutschland;
2012-03-25
- 2012-03-30.
-
M. Glaser, S. Prucnal, A. Johannes, S. Conesa-Boj, A. Lugstein, E. Bertagnolli:
"'Integrating III-V Materials into Silicon Nanowires by Ion Implantation and Flash Lamp Annealing";
Talk: International Conference on Nanoscience and Technology (ICNT),
Vail, Colorado, USA;
2014-07-20
- 2014-07-25.
-
S. Glassner, P. Periwal, T. Baron, E. Bertagnolli, A. Lugstein:
"Electroluminescence from NiSi2/silicon/NiSi2 nanowire heterostructures operated at high electric fields";
Talk: E-MRS Spring Meeting,
Lille, Frankreich;
2016-05-02
- 2016-05-06.
-
S. Glassner, K. Winkler, B. Salem, T. Baron, R. Rurali, E. Bertagnolli, A. Lugstein:
"Electrical and optical properties of highly strained silicon nanowires";
Poster: Nanowire Week 2017,
Lund;
2017-05-29
- 2017-06-02.
-
S. Glassner, C. Zeiner, P. Periwal, T. Baron, E. Bertagnolli, A. Lugstein:
"Electrostatic modulated Schottky and interband tunnelling in silicon nanowire heterostructure devices";
Poster: European Materials Research Society (EMRS),
Warschau, Polen;
2014-09-16
- 2014-09-20.
-
O. Glushko, R. Meisels, S. Schartner, S. Kalchmair, G. Strasser:
"Optimization of quantum well infrared photodetectors with embedded photonic crystals";
Talk: International School and Conference on Photonics,
Belgrade, Serbia;
2009-08-24
- 2009-08-28.
-
Z. Gökdeniz, M. Shawrav, H. D. Wanzenböck, I. Bassem, C. Zeiner, A. Lugstein, E. Bertagnolli:
"Direct-write chlorine based etching of semiconductor devices utilizing a SEM";
Poster: 18th International Microscopy Congress,
Prag;
2014-09-07
- 2014-09-12.
-
S. Golka, S. Schartner, M. Austerer, C. Pflügl, W. Schrenk, G. Strasser:
"Deep etching of waveguiding structures in intersubband devices";
Poster: 8th International Conference on Intersubband Transitions in Quantum Wells,
Cape Cod, USA;
2005-09-11
- 2005-09-16.
-
E. Gornik:
"Current spectroscopy of Quantum States in Superlattices";
Talk: FU Berlin,
Institut für Festkörperphysik;
2001-02-08.
-
E. Gornik:
"Future Submicron Devices";
Talk: Presentation of MEDEA + network of exellence,
Paris MEDEA Office;
2001-05-16.
-
E. Gornik:
"Future Submicron Devices-a Route to Nanoelectronics";
Talk: Presentation of MEDEA + network of exellence,
Europeam Commision Microelectronic Devision, Brues;
2001-04-24.
-
E. Gornik:
"Halbleiter-Nanoelektronik - eine Brücke zur Biologie?";
Talk: Einladung des Naturwissenschaftlichen-Medizinischen Vereins,
Innsbruck;
2000-01-11.
-
E. Gornik:
"Laserprober für Leistungsbauelemente";
Talk: Infineon,
München;
2001-09-04.
-
E. Gornik:
"Öffentliche Verantwortung der Ökonomisierung und Politisierung der Wissenschaft?";
Talk: Österreichischer Wissenschaftstag 2008,
Semmering;
2008-10-23
- 2008-10-25.
-
E. Gornik:
"Transport in Quantenstrukturen";
Talk: Österreichische Akademie der Wissenschaft,
Wien;
2001-04-05.
-
E. Gornik:
"Transport spectroscopy of superlattice quantum states";
Talk: Symposium on Semiconductor Physics and Devices,
Osaka Internationsl Convention Center, Osaka, Japa (invited);
2001-07-06.
-
E. Gornik, M. Coquelin, A. Pfnier, A. M. Andrews, P. Klang, H. Detz, C. Deutsch, P. Bakshi, K. Unterrainer, G. Strasser:
"THz Quantum Well Sources";
Talk: GDRI-CNRS workshop: Semiconductor Sources and Detectors of THz Radiation,
Tignes, France;
2011-03-29
- 2011-04-01.
-
E. Gornik, S. Gianordoli, L. Hvozdara, W. Schrenk, A. Lugstein, N. Finger, J. Faist, G. Strasser:
"Long-wavelength GaAs-AlGaAs quantum-cascade microlasers";
Talk: Photonics West,
San Jose, USA (invited);
2001-01-20
- 2001-01-26.
-
E. Gornik, W. Schrenk, G. Strasser:
"GaAs-based Quantum Cascade Laser Diodes";
Talk: 15th International Conference on InP and Related Materials,
Santa Barbara, USA (invited);
2003-05-12
- 2003-05-16.
-
E. Gornik, G. Strasser:
"THz emission from intersubband and plasmon decay";
Talk: International Workshop on "Nanophysics & Bioelectronics,
Dresden (invited);
2001-08-04
- 2001-08-18.
-
J.M. Greil, A. Lugstein, E. Bertagnolli:
"Silicon nanowire solarcell";
Poster: Workshop for thin film solar cells,
Delft, Niederlande;
2010-01-27
- 2010-01-29.
-
J.M. Greil, A. Lugstein, T. Burchhart, M. Mijic, C. Zeiner, M. Steinmair, A. Steiger-Thirsfeld, E. Bertagnolli:
"Raman microscopy and electronic characterization of ultra-strained Si/Ge nanowires";
Talk: ÖPG-Jahrestagung,
Lausanne, Schweiz;
2011-06-16.
-
J.M. Greil, A. Lugstein, T. Burchhart, M. Mijic, C. Zeiner, M. Steinmair, A. Steiger-Thirsfeld, E. Bertagnolli:
"Raman microscopy and electronic characterization of ultra-strained Si/Ge nanowires";
Talk: MRS Spring Meeting,
San Francisco, CA, USA;
2011-04-25
- 2011-04-29.
-
J.M. Greil, A. Lugstein, M. Steinmair, A. Steiger-Thirsfeld, E. Bertagnolli:
"Raman and electronic characterization of ultra-strained Si/Ge nanowires";
Talk: Paul Scherrer Institut,
Villigen, Schweiz (invited);
2011-10-04.
-
J.M. Greil, A. Lugstein, C. Zeiner, J. Stangl, M. Keplinger, R. Grifone, D. Kriegner, L. Geelhaar, C. Somaschini, G. Strasser, E. Bertagnolli:
"Tuning the electro-optical properties of nanowires with strain";
Talk: IRON Workshop,
Linz;
2012-03-23.
-
J.M. Greil, A. Lugstein, C. Zeiner, J. Stangl, M. Keplinger, R. Grifone, D. Kriegner, L. Geelhaar, C. Somaschini, G. Strasser, E. Bertagnolli:
"Tuning the electro-optical properties of nanowires with strain";
Talk: Nanophotonics and Electronics Meeting,
Paris, Frankreich;
2012-04-02.
-
J.M. Greil, A. Lugstein, C. Zeiner, J. Stangl, M. Keplinger, R. Grifone, D. Kriegner, L. Geelhaar, C. Somaschini, G. Strasser, E. Bertagnolli:
"Tuning the electro-optical properties of Si, Ge and GaAs nanowires with strain";
Talk: International Conference of Young Researchers on Advanced Materials (ICYRAM),
Singapur;
2012-07-01
- 2012-07-06.
-
J.M. Greil, C. Zeiner, L. Geelhaar, C. Somaschini, G. Strasser, E. Bertagnolli:
"Tuning the electro-optical properties of Si, Ge and GaAs nanowires with strain";
Talk: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
2013-05-27
- 2013-05-31.
-
G. Haberfehlner, S. Bychikhin, V. Dubec, M. Heer, A. Podgaynaya, M. Pfost, M. Stecher, E. Gornik, D. Pogany:
"Thermal imaging of smart power DMOS transistors in the thermally unstable regime using a compact transient interferometric mapping system";
Keynote Lecture: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, France;
2009-10-05
- 2009-10-09.
-
C. Hahn, K. Becker, S. Saghafi, M. Wanis, H. Dodt:
"Reduction of photobleaching and long term archiving of chemically cleared GFP-expressing mouse brains";
Poster: Neuroscience Vienna Network Meeting,
Wien;
2013-11-21.
-
S. Harasek, S. Abermann, W. Brezna, J. Smoliner, E. Bertagnolli:
"MOCVD of zirconium-oxide thin films for High-K dielectrica";
Poster: SINANO-NANOCMOS Meeting,
Grenoble, Frankreich;
2005-01-26
- 2005-01-28.
-
S. Harasek, H. D. Wanzenböck, H. Langfischer, E. Bertagnolli:
"Ultrathin zirconium dioxide chemically deposited at a low thermal budget";
Poster: Materials Research Society Fall Meeting (MRS),
Boston, USA;
2002-12-02
- 2002-12-06.
-
A. Harrer, R. Gansch, P. Reininger, B. Schwarz, H. Detz, A. M. Andrews, T. Zederbauer, D. MacFarland, W. Schrenk, G. Strasser:
"Tuning of resonances in photonic crystal photodetectors";
Poster: Joint Annual Meeting of ÖPG, SPG, ÖGAA und SGAA 2013,
Linz;
2013-09-02
- 2013-09-06.
-
A. Harrer, P. Reininger, R. Gansch, B. Schwarz, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, O. Baumgartner, H. Kosina, G. Strasser:
"Quantum Cascade Detectors for Sensing Applications";
Talk: ICAVS8,
Wien;
2015-07-12
- 2015-07-17.
-
A. Harrer, P. Reininger, B. Schwarz, R. Gansch, S. Kalchmair, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, O. Baumgartner, H. Kosina, G. Strasser:
"Advances in Quantum Cascade Detector Design";
Talk: 4th International Nanophotonics Meeting 2014,
Igls;
2014-10-23
- 2014-10-25.
-
A. Harrer, B. Schwarz, R. Gansch, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared detectors with on-chip light collection";
Poster: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Bari;
2014-09-07
- 2014-09-14.
-
A. Harrer, B. Schwarz, P. Reininger, R. Gansch, T. Zederbauer, A. M. Andrews, S. Kalchmair, W. Schrenk, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"Intersubband Detectors";
Talk: 3rd International Nanophotonics Meeting 2013,
Salzburg;
2013-09-01
- 2013-09-03.
-
A. Harrer, B. Schwarz, R. Szedlak, M. Holzbauer, D. Ristanic, H. Detz, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Quantum cascade structures for sensing applications";
Talk: Daylight Solution Seminar,
San Diego;
2017-02-10.
-
A. Harrer, B. Schwarz, R. Szedlak, M. Holzbauer, T. Zederbauer, H. Detz, A. M. Andrews, D. MacFarland, W. Schrenk, H. Moser, J.P. Waclawek, B. Lendl, G. Strasser:
"Mid-infrared sensing based on quantum cascade structures";
Talk: NextLite Workshop,
Wien;
2016-05-03
- 2016-05-04.
-
A. Harrer, B. Schwarz, R. Szedlak, J.P. Waclawek, H. Moser, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"A bi-functional surface emitting and detecting mid-infrared device for sensing applications";
Talk: ÖPG-Jahrestagung,
Wien;
2015-09-01
- 2015-09-04.
-
A. Harrer, R. Szedlak, M. Holzbauer, B. Schwarz, H. Moser, E. Mujagic, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Monolithic integrated on-chip sensing utilizing plasmonics";
Talk: 1st Next-Lite Workshop,
Hirschwang an der Rax;
2015-06-18
- 2015-06-19.
-
A. Harrer, R. Szedlak, B. Schwarz, H. Moser, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Towards a monolithic integrated surface emitting and detecting mid-infrared gas sensor";
Poster: ITQW,
Wien;
2015-09-06
- 2015-09-11.
-
S. Haslinger, R. Amsüss, Christoph Hufnagel, C. Koller, N. Lippok, M. Schramböck, K. Henschel, H. Zoubi, J. Majer, S. Schneider, H. Ritsch, H.-J. Schmiedmayer:
"Hybrid Quantum Systems: Integrating Atomic and Solid State Qubits";
Poster: Quantum Information, Processing and Communication Conference,
Rom;
2009-09.
-
M. Heer, S. Bychikhin, W. Mamanee, D. Pogany, A. Heid, P. Grambach, M. Klaussner, W. Soppa, B. Ramler:
"Experimental and numerical analysis of current flow homogeneity in low voltage SOI multi-finger gg-NMOS and NPN ESD protection devices";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, Frankreich;
2007-10-09
- 2007-10-12.
-
M. Heer, V. Dubec, M. Blaho, S. Bychikhin, D. Pogany, E. Gornik, M. Denison, M. Stecher, G. Groos:
"Automated setup for thermal imaging and electrical degradation study of power DMOS devices";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon France;
2005-10-10
- 2005-10-14.
-
M. Heer, V. Dubec, S. Bychikhin, D. Pogany, E. Gornik, M. Frank, A. Konrad, J. Schulz:
"Analysis of triggering behaviour of high voltage CMOS LDMOS clamps and SCRs during ESD induced latch-up";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Wuppertal, Deutschland;
2006-10-03
- 2006-10-06.
-
M. Heer, P. Grombach, A. Heid, D. Pogany:
"Hot spot analysis during thermal shutdown of SOI BCDMOS half bridge driver for automotive applications";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Maastrich, The Netherlands;
2008-09-29
- 2008-10-02.
-
R. Heer, J. Smoliner, J. Bornemeier, E. Brückl:
"Ballistic Electron Transport in Spin Valve Transistors Studied by Scanning Tunneling Microscopy Techniques";
Talk: 47th Annual TMS Electronic Materials Conference,
Santa Barbara, California, USA;
2005-06-22
- 2005-06-24.
-
C. Henkel, S. Abermann, O. Bethge, E. Bertagnolli:
"Impact of ALD deposition of platinum films on high-k dielectric materials";
Poster: Workshop on Dielectrics in Microelectronics (WODIM),
Berlin, Deutschland;
2008-06-23
- 2008-06-25.
-
C. Henkel, S. Abermann, O. Bethge, E. Bertagnolli:
"Pt/Ge Schottky-Barrier Reduction by Rapid Thermal Diffusion of P Dopants";
Talk: Meeting of the Electrochemical Society (ECS),
Wien;
2009-10-07
- 2009-10-09.
-
C. Henkel, S. Abermann, O. Bethge, R. Felix, E. Bertagnolli:
"Integration of High-k/Metal Gate Schottky Barrier MOSFETs";
Poster: ÖPG-Jahrestagung,
Innsbruck;
2009-09-02
- 2009-09-04.
-
C. Henkel, S. Abermann, O. Bethge, P. Klang, E. Bertagnolli:
"Impact of sputter deposited TaN and TiN metal gates on ZrO2/Ge and ZrO2/Si hih-k dielectric gate stacks";
Poster: International Conference on Ultimate Integration of Silicon (ULIS),
Aachen, Deutschland;
2009-03-18
- 2009-03-20.
-
C. Henkel, S. Abermann, O. Bethge, G. Pozzovivo, E. Bertagnolli:
"Ge SB-p-MOSFET with ALD ZrO2/La2 O3 Dielectrics";
Talk: Workshop on Dielectrics in Microelectronics (WODIM),
Bratislava, Slavakei;
2010-06-28
- 2010-06-30.
-
C. Henkel, O. Bethge, S. Abermann, S. Puchner, H. Hutter, M. Reiche, E. Bertagnolli:
"Atomic Layer Deposition of high-k dielectrics on GOI Substrates";
Poster: Baltic ALD 2010 & GerALD2,
Hamburg,Deutschland;
2010-09-16
- 2010-09-17.
-
J.N. Heyman, N Coates, A Reinhardt, G. Strasser:
"Ultrafast THz measurements of Hot Carrier Diffusion and Drift in Semiconductors";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
2004-07-26
- 2004-07-30.
-
J. Hillbrand, A. M. Andrews, H. Detz, H. Schneider, G. Strasser, F. Capasso, B. Schwarz:
"Picosecond pulses from mid-infrared quantum cas-cade lasers";
Talk: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
2019-08-26
- 2019-08-30.
-
B. Hinkov, G. Campo, F. Cappelli, I. Galli, L. Consolino, G. Giusfredi, P. Cancio, S. Borri, D. Mazzotti, S. Bartalini, J. Faist, P. De Natale:
"Towards the full frequency stabilization of quantum cascade laser frequency combs";
Talk: European Quantum Electronics Conference,
München;
2017-06-25
- 2017-06-29.
-
B. Hinkov, M. David, G. Marschick, E. Arigliani, F. Pilat, P. Souza, H. Knötig, I. Doganlar, A. Lardschneider, N. Brandacher, D. Koukola, A. Schwaighofer, B. Lendl, G. Strasser:
"Mid-IR photonic integrated circuits for on-chip applications in liquid sensing and telecom";
Talk: Optaphi,
Wien (invited);
2022-06-21.
-
B. Hinkov, M. David, F. Pilat, L. Lux, P. Souza, A. Schwaighofer, B. Schwarz, H. Detz, A. M. Andrews, B. Lendl, G. Strasser:
"Next generation of liquid spectroscopy: a monolithic sensor for protein denaturation";
Talk: 3rd IR Sessions by LC Week,
online (invited);
2021-12-08.
-
B. Hinkov, J. Hayden, R. Szedlak, P. Martin-Mateos, B Jerez, P. Acedo, B. Lendl, G. Strasser:
"Modulation characteristics of surface-emitting ring DFB quantum cascade lasers";
Talk: SPIE Photonics West 2020,
San Francisco;
2020-02-01
- 2020-02-06.
More information
-
B. Hinkov, H. Knötig, S. Lindner, R. Weih, B. Schwarz, W. Schrenk, L. Lux, H. Detz, A. M. Andrews, B. Baumgartner, J.P. Waclawek, J. Koeth, S. Höfling, B. Lendl, G. Strasser:
"Mid-infrared lasers for spectroscopic applications";
Talk: 1st Laser Components (LC) Talks,
Olching (invited);
2020-12-01
- 2020-12-02.
-
B. Hinkov, H. Knötig, F. Pilat, S. Lindner, R. Weih, B. Schwarz, W. Schrenk, L. Lux, H. Detz, A. M. Andrews, B. Baumgartner, J.P. Waclawek, J. Koeth, B. Lendl, G. Strasser:
"Mid-infrared lasers for spectroscopic applications";
Talk: Online Conference LC Talks 1st Global Infrared Session,
Olching (invited);
2020-12-01
- 2020-12-02.
-
B. Hinkov, B. Schwarz, R. Szedlak, M. Holzbauer, A. Harrer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared Quantum Cascade Laser for highly-integrated sensor systems";
Talk: Nanoforum,
Linz (invited);
2017-06-29
- 2017-06-30.
-
H.T. Hoang, B. Hinkov, W. Schrenk, M. Hugues, J. Chauveau, G. Strasser:
"Towards the fabrication of ZnO-based quantum cascade la-sers with double-metal waveguides";
Poster: Gemeinsame Jahrestagung ÖPG und SPS 2019,
Zürich;
2019-08-26
- 2019-08-30.
-
G. Hobler:
"Binary Collision Simulation of Focused Ion Beam Milling of Deep Trenches";
Talk: International Conference on Simulation of Radiation Effects in Solids,
Krakow, Polen;
2010-07-19
- 2010-07-23.
-
G. Hobler:
"Combined binary collision and continuum mechanics model applied to focused ion beam milling of a silicon membrane";
Talk: International Conference on Computer Simulation on Radiation Effects in Solids,
Alicante, Spanien;
2016-06-08
- 2016-06-13.
-
G. Hobler:
"Modeling of Focused Ion Beam Milling";
Talk: Bell Laboratories,
Lucent Technologies;
2000-07-31.
-
G. Hobler:
"Molecular dynamics study of atom ejection from an eroding (100)-Si surface";
Talk: International Conference on Simulation of Radiation Effects in Solids,
Santa Fe, New Mexico, USA;
2012-06-24
- 2012-06-29.
-
G. Hobler:
"Simulation of Nanostructuring with Focused Ion Beams";
Talk: FIB-Workshop,
Wien (invited);
2010-06-28
- 2010-06-29.
-
G. Hobler:
"Simulation von Topographie- und Materialmodifikation mittels fokussierter Ionenstrahlen";
Talk: Seminar Institut für Allgemeine Physik (IAP),
TU Wien;
2006-06-19.
More information
-
G. Hobler:
"Status and open problems in silicon implant damage modeling";
Talk: 3rd Int. Meeting Challenges in Predictive Process Simulation,
Prague, Czech Republic;
2002-10-13
- 2002-10-17.
-
G. Hobler, G. Betz:
"On the useful range of application of molecular dynamics simulations in the recoil interaction approximation";
Talk: 5th Interanional Conference Computer Simulation of Radiation Effects in Solids,
Penn State University, USA;
2000-07-24
- 2000-07-28.
-
G. Hobler, G. Kresse:
"Ab-initio calculations of the interaction between native point defects in silicon";
Talk: Materials Research Society Spring Meeting (MRS),
Straßburg, Frankreich;
2005-05-30
- 2005-06-03.
-
G. Hobler, D. Maciazek, Z. Postawa:
"Ion bombardment-induced atom redistribution in amorphous silicon: MD versus BCA";
Talk: International Conference on Simulation of Radiation Effects in Solids,
Shanghai;
2018-06-17
- 2018-06-22.
-
G. Hobler, M. Nietiadi, R.M. Bradley, Herbert M. Urbassek:
"Sputtering of silicon membranes with nanoscale thickness";
Talk: International Conference on Simulation of Radiation Effects in Solids,
Loughborough, GB;
2016-06-19
- 2016-06-24.
-
G. Hobler, K. Nordlund:
"Channeling maps: Assessing the binary collision approximation";
Poster: International Conference on Simulation of Radiation Effects in Solids,
Shanghai;
2018-06-17
- 2018-06-22.
-
G. Hobler, G. Otto:
"Detailed modeling of ion implantation damage in silicon using a binary collision approach with information from molecular dynamics simulations";
Talk: IBMM 2002,
Kobe, Japan;
2002-09-01
- 2002-09-06.
-
G. Hochleitner, H. D. Wanzenböck, P. Rödiger, E. Bertagnolli:
"Electron-beam induced deposition of metal catalyst arrays";
Talk: Materials Research Society Fall Meeting (MRS),
Warsaw, Poland;
2008-09-15
- 2008-09-19.
-
S. Hofer, H. Hirner, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Photoconductive response of InAs/GaAs quantum dot stacks";
Poster: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
2001-07-23
- 2001-07-27.
-
L. Hoffmann:
"Quantenkaskadenlaser";
Talk: ARGE Sensorik/Infrarot,
Wien (invited);
2007-12-11.
-
L. Hoffmann, C. Hurni, S. Schartner, M. Austerer, E. Mujagic, M. Nobile, W. Schrenk, A. M. Andrews, P. Klang, G. Strasser:
"Coherent coupling of mid-infrared Quantum Cascade Lasers";
Talk: Photonics West,
San Jose, California, USA;
2008-01-19
- 2008-01-24.
-
L. Hoffmann, C. Hurni, S. Schartner, M. Austerer, E. Mujagic, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, G. Strasser:
"Coherently coupled mid-infrared Quantum Cascade Lasers";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
2008-02-18
- 2008-02-22.
-
L. Hoffmann, C. Hurni, S. Schartner, E. Mujagic, W. Schrenk, A. M. Andrews, P. Klang, M. Semtsiv, W. Masselink, G. Strasser:
"Gain-driven phase-locking in Y-coupled Quantum Cascade Lasers";
Talk: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01.
-
L. Hoffmann, M. Klinkmüller, E. Mujagic, M. Semtsiv, W. Schrenk, W. Masselink, G. Strasser:
"Phase-Locking in Quantum Cascade Laser Arrays";
Talk: International Conference on Indium Phosphide an Related Materials,
Newport Beach, USA;
2009-05-10
- 2009-05-14.
-
M. Holzbauer, P. Klang, H. Detz, A. M. Andrews, P. Bakshi, G. Strasser, E. Gornik:
"Intersubband plasmon coupling enhanced current transport";
Talk: 32nd International Conference on the Physics of Semiconductors (ICPS2014),
Austin;
2014-08-10
- 2014-08-15.
More information
-
M. Holzbauer, R. Szedlak, H. Detz, R. Weih, S. Höfling, W. Schrenk, J. Koeth, G. Strasser:
"Substrate-emitting ring interband cascade lasers";
Talk: CLEO/Europe-EQEC 2017,
München;
2017-06-25
- 2017-06-29.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"High Performance Ring Quantum Cascade Laser for Sensing Applications";
Poster: ICAVS8,
Wien;
2015-07-12
- 2015-07-17.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Light extraction from ring quantum cascade lasers";
Talk: Nanoforum 2015,
Linz (invited);
2015-06-08
- 2015-06-09.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Thermal Management in Ring Quantum Cascade Lasers";
Talk: ÖPG-Jahrestagung,
Wien;
2015-09-01
- 2015-09-04.
-
M. Holzbauer, R. Szedlak, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Thermal Optimization of Ring Quantum Cascade Lasers";
Poster: ITQW,
Wien;
2015-09-06
- 2015-09-11.
-
M. Holzbauer, R. Szedlak, C. Schwarzer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared Ring Cavities";
Talk: 4th International Nanophotonics Meeting 2014,
Igls;
2014-10-23
- 2014-10-25.
-
L. Hvozdara, S. Gianordoli, G. Strasser, W. Schrenk, K. Unterrainer, E. Gornik, V. Pustogov, C. Murthy, B. Mizaikoff, A. Inberg, N. Croitoru:
"Gas Absorption Spectroscopy using GaAs/AlGaAs quantum cascade lasers and a hollow waveguide absorption cell";
Talk: SPIE - Environmental and Industrial Sensing,
Boston, USA;
2000-11-05
- 2000-11-08.
-
Y.J. Hyun, A. Lugstein, M. Steinmair, E. Bertagnolli, P. Pongratz:
"Analysis of Kinking Nanowires by High Resolution Transmission Electron Microscopy";
Talk: Microscopy of semiconducting Materials (MSM),
Oxford, Endgland;
2009-03-17
- 2009-03-20.
-
N. Jährling:
"3D-Rekonstruktionen morphologischer Strukturen mittels Ultramikroskopie";
Talk: Cell Imaging and Ultrastructure Research,
Wien;
2009-05-05.
-
N. Jährling:
"Ultramicroscopy (UM) in neurobiology";
Talk: International Conference on Non-Invasive Brain Stimulation,
Leipzig, Deutschland;
2013-03-19
- 2013-03-21.
-
N. Jährling, C. Auer, G. Tabatabai, C. Hahn, S. Saghafi, K. Becker, H. Dodt:
"Ultramicroscopy (UM): 3D reconstructions of vascular networks in mice using lectin-staining";
Poster: 8th YSA-PhD-Symposium,
Wien;
2012-06-13
- 2012-06-14.
-
N. Jährling, K. Becker, H. Dodt:
"Ultramicroscopy in mice: 3D-imaging of vascular networks utilising lectin-staning";
Poster: 7th Fens Forum of European Neuroscience,
Amsterdam;
2010-07-03
- 2010-07-07.
-
N. Jährling, K. Becker, A. Ertürk, C. Mauch, F. Bradke, H. Dodt:
"Three dimensional imaging of the unsectioned adult spinal cord by ultramicroscopy";
Talk: Light Sheet microscopy workshop,
Toulouse, France;
2011-10-13
- 2011-10-14.
-
N. Jährling, K. Becker, E. Kramer, M. Körte, R. Weiler, H. Dodt:
"Morphological analysis of developing nerve fibres in mouse embryos by ultramicroscopy";
Poster: Meeting of the German Neuroscience Society,
Göttingen, Deutschland;
2009-03-25
- 2009-03-29.
-
N. Jährling, K. Becker, S. Saghafi, H. Dodt:
"3D Imaging of vascular networks in mouse brains using ultramicroscopy (UM)";
Poster: 54th Symposium of the Society for Histochemistry,
wien;
2012-09-05
- 2012-09-08.
-
N. Jährling, K. Becker, S. Saghafi, B. Wegenast-Braun, S. Grathwohl, E. Kramer, R. Weiler, H. Dodt:
"Advances in Ultramicroscopy and its application in neuroscience";
Poster: International Neuroscience Winter Conference,
Sölden;
2018-04-11
- 2018-04-15.
-
N. Jährling, K. Becker, B. Wegenast-Braun, S. Grathwohl, D. Eicke, H. Dodt:
"Bioimaging: 3D-Visualization of Alzheimer´s Disease Pathologies in Intact Mouse Brains by Ultramicroscopy";
Talk: 6th PhD-Symposium,
Wien;
2010-06-16
- 2010-06-17.
-
N. Jährling, S. Saghafi, K. Becker, H. Dodt:
"Optical characterisation of standard ultramicroscopy system";
Poster: EOS European Optical Society Annual Meeting,
Capri, Italien;
2011-09-26
- 2011-09-28.
-
N. Jährling, S. Saghafi, K. Becker, I. Sabdyusheva, M. Wanis, H. Dodt:
"Ultramicroscopy in neuroscience";
Talk: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
2015-09-01
- 2015-09-04.
-
N. Jährling, S. Saghafi, A. Ertürk, C. Mauch, C. Auer, K. Becker, H. Dodt:
"Ultramicroscopy: 3D-reconstructions of mouse spinal cords";
Poster: Jahreskongress der Deutschen Gesellschaft für Neurotraumatologie und Klinische Neurorehabilitation e.V.,
Köln, Deutschland;
2012-06-21
- 2012-06-23.
-
M. Jaidl, M. A. Kainz, S. Schönhuber, C. Deutsch, D. Bachmann, M. Brandstetter, M. Krall, J. Darmo, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Double-metal waveguide fabrication for high performance THz Quantum Cascade Lasers";
Talk: Workshop on Dry Processing for Nanoelectronics & Micromechanics Deposition & Etching,
Wien;
2019-09-17
- 2019-09-18.
-
S. Kalchmair, S. Ahn, R. Gansch, E. Mujagic, C. Schwarzer, M. Nobile, C. Ostermaier, M. Schramböck, S. Schartner, A. M. Andrews, H. Detz, P. Klang, W. Schrenk, G. Strasser:
"Tailored Mid-infrared Optoelectronics: Design, Processing and Systems";
Talk: 17th Sem. on Electron and Ion Beam Nanofabrication for Applications in Nanotechnology,
Dortmund, Deutschland (invited);
2011-02-21
- 2011-02-22.
-
S. Kalchmair, H. Detz, G. Cole, A. M. Andrews, M. Nobile, P. Klang, W. Schrenk, G. Strasser:
"Quantum well photodetector in a free-standing photonic crystal slab";
Talk: International Conference Micro- and Nanoelectronics (ICMNE),
Genda, Italien;
2010-09-19
- 2010-09-22.
-
S. Kalchmair, R. Gansch, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Focusing of Light with a Plasmonic Lens onto Mid-Infrared Quantum Well Photodetector";
Talk: 10th International Symposium on Photonic and Electromagnetic Crystal Structures (PECS-X),
Santa Fe, New Mexico, USA;
2012-06-03
- 2012-06-08.
-
S. Kalchmair, R. Gansch, G. Cole, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Slabs for Resonant Photodetection in Quantum Wells";
Poster: Metamaterials,
Barcelona, Spanien;
2011-10-10
- 2011-10-15.
-
S. Kalchmair, R. Gansch, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Photonic Crystal Slab Quantum Well Photodetectors";
Poster: GMe Forum 2011,
Vienna, Austria;
2011-04-14
- 2011-04-15.
-
S. Kalchmair, R. Gansch, E. Mujagic, S. Ahn, P. Reininger, G. Lasser, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Increased Detectivity and Operation Temperature in Photonic Crystal Slab Quantum Well Photodetectors";
Talk: CLEO 2012,
San Jose, California, USA;
2012-05-06
- 2012-05-11.
-
S. Kalchmair, R. Gansch, P. Reininger, S. Ahn, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Resonant detectivity enhancement of quantum well infrared photodetectors by photonic crystal slabs";
Talk: SPIE Conference,
Brüssel, Belgien;
2012-04-16
- 2012-04-19.
-
S. Kalchmair, R. Gansch, P. Reininger, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"High Detectivity and Enhanced Operation Temperature of Photonic Crystal Slab QWIPs";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2012-02-12
- 2012-02-17.
-
S. Kalchmair, R. Gansch, P. Reininger, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Photonic Crystal Slabs for Resonant Photodetection in Quantum Wells";
Poster: GMe Forum 2012,
Wien;
2012-03-29
- 2012-03-30.
-
S. Kalchmair, R. Gansch, P. Reininger, S. Schartner, A. M. Andrews, H. Detz, P. Klang, T. Zederbauer, W. Schrenk, G. Strasser:
"Resonant photonic detection";
Talk: Nano Photonics Meeting,
Wien (invited);
2011-11-10
- 2011-11-11.
-
S. Kalchmair, E. Mujagic, M. Schramböck, S. Schartner, W. Schrenk, G. Strasser:
"E-beam lithography for mid-IR photonic devices";
Talk: Raith European Usergroup meeting 2009,
Gent;
2009-09-29.
-
H Karaca, C. Fleury, S. Holland, H. Ritter, R. Krainer, V. Kumar, G. Notermans, D. Pogany:
"Triggering of multi-finger and multi-segment SCRs near the holding voltage studied by emission microscopy under DC conditions";
Talk: International Electrostatic Discharge workshop (IEW),
Online Conference;
2021-05-17
- 2021-05-20.
-
M. Kast:
"Current spectroscopy of quantum states in semiconductor superlattices";
Talk: 38th IUVSTA Workshop on Electronic Processes and Sensing on the Nano-Scale,
Elat, Israel;
2003-05-25
- 2003-05-29.
-
M. Kast:
"Direct Observation of Wannier-Stark-States in GaAs/AlGaAs-Superlattices";
Talk: SFB workshop,
Wien;
2000-09-27.
-
M. Kast, W Boxleitner, G. Strasser, E. Gornik:
"High-resolution ballistic electron spectroscopy in parallel magnetic fields";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
2004-07-26
- 2004-07-30.
-
M. Kast, W. Boxleitner, C. Pacher, G. Strasser, E. Gornik:
"Magnetic field controlled scattering processes in GaAs";
Poster: 11th International Conference on Modulated Semiconductor Structures (MSS11),
Nara, Japan;
2003-07-14
- 2003-07-18.
-
M. Kast, C. Pacher, M. Coquelin, G. Fasching, G. Strasser, E. Gornik:
"An Ultra-narrow Electron Injector for Ballistic Electron Spectroscopy";
Poster: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
2001-07-23
- 2001-07-27.
-
M. Kast, C. Pacher, M. Coquelin, G. Fasching, G. Strasser, E. Gornik:
"LO-phonon assisted hot electron transport in biased superlattices";
Talk: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Santa Fe, New Mexico, USA;
2001-08-27
- 2001-08-31.
-
M. Kast, C. Pacher, G. Strasser, E. Gornik:
"Ballistic Electron Spectroscopy of Wannier-Stark states in short period superlattices";
Poster: 15th International Conference on Electronic Properties of 2-Dimensional Systems (EP2DS-15),
Nara, Japan;
2003-07-14
- 2003-07-18.
-
M. Kast, C. Pacher, G. Strasser, E. Gornik:
"Transport through Wannier-Stark states in biased finite superlattices";
Poster: 26th Int. Conf. on the Physics of Semiconductors,
Edingburgh, Scotland;
2002-07-29
- 2002-08-02.
-
J. Kaur, N. Bansal, A. Wibowo, M. Bauch, I. Khan, S. Edinger, O. Bethge, E. Bertagnolli, T. Dimopoulos:
"Heterojunction solar cells based on electrodeposited cuprous oxide (Cu2O) absorber and ALD-grown ZnO";
Poster: European Materials Research Society (EMRS),
Lille;
2015-05-11
- 2015-05-15.
-
P.O. Kellermann, N. Finger, H. Maier, E. Gornik, M. Ost, F. Scholz, H. Schweizer:
"Laser diodes with contradirectional surface mode coupling";
Poster: Volkswagen-Stiftung Photonik-Symposium 2001,
Baden, Austria;
2001-09-23
- 2001-09-25.
-
R. Kersting, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Excitation Dynamics beyond the Slowly-Varying Envelope Approximation";
Talk: 12th International Conference on Ultrafast Phenomena,
Charlston, USA;
2000-07-09
- 2000-07-13.
-
R. Kersting, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Sampling the dynamics of intersubband transitions";
Talk: International Conference on Physics of Semiconductor (ICPS),
Osaka;
2000-09-18
- 2000-09-22.
-
N. Kheirodin, L. Nevou, M. Tchernycheva, F.H. Julien, P. Crozat, L. Meignien, E. Warde, G. Pozzovivo, S. Golka, G. Strasser, G. Guillot, E. Monroy, T. Remmele, M. Albrecht:
"Electro-optical intersubband modulation based on electron tunneling between GaN/AlN coupled quantum wells";
Talk: International Conference on Mid-Infrared Optoelectronics: Materials and Devices,
Bad Ischl;
2007-05-14
- 2007-05-16.
-
N. Kheirodin, L. Nevou, M. Tchernycheva, F.H. Julien, A. Lupu, P. Crozat, L. Meignien, E. Warde, G. Pozzovivo, S. Golka, G. Strasser, G. Guillot, E. Monroy, T. Remmele, M. Albrecht:
"Intersubband spectroscopy of electron tunneling in GaN/AlN coupled quantum wells";
Talk: ITQW07,
Ambleside, UK;
2007-09-09
- 2007-09-14.
-
P. Klang, A. M. Andrews, H. Detz, M. Steinmair, A. Lugstein, W. Schrenk, G. Strasser:
"Structure of GaAs whiskers grown on silicon nanowires";
Talk: Struktura 2008 & 17th Regional Conf. on Powder Diffraction,
Valtice, Czech;
2008-06-16
- 2008-06-20.
-
P. Klang, A. M. Andrews, M. Schramböck, R. Krzyzanowski, W. Schrenk, G. Hesser, F. Schäffler, G. Strasser:
"Improving size distribution of InAs QDs grown by MBE";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
2008-02-18
- 2008-02-22.
-
P. Klang, A. M. Andrews, M. Schramböck, W. Schrenk, G. Strasser:
"Growth of InAs Quantum Dots for Intersubband Devices";
Talk: 15th Int. Conference on MBE,
Vancouver, Canada;
2008-08-03
- 2008-08-08.
-
P. Klang, H. Detz, A. M. Andrews, B. Basnar, W. Schrenk, A. Lugstein, G. Strasser:
"Low Dimensional Nanostructures Grown by Molecular Beam Epitaxy";
Poster: GMe Forum 2008,
Wien;
2008-11-13
- 2008-11-14.
-
H. Knötig, R. Weih, N Opacak, J. Koeth, G. Strasser, B. Schwarz:
"Improving the performance of interband cascade lasers: the influence of intersubband transitions in the valence band";
Talk: European Semiconductor Laser Workshop,
virtuell;
2021-09-17
- 2021-09-18.
-
H. Köck, C. Djelassi, S. de Filippis, M. Nelhiebel, M. Ladurner, M. Glavanovics, D. Pogany:
"Improved thermal management of low voltage power devices with optimized bond wire positions";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bordeaux, France;
2011-10-03
- 2011-10-07.
-
H. Köck, V. Kosel, C. Djelassi, M. Glavanovics, D. Pogany:
"IR thermography and FEM simulation analysis of on-chip temperature during thermal-cycling power-metal reliability testing using in-situ heated structures";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Arcachon, France;
2009-10-05
- 2009-10-09.
-
C Koller, G. Pobegen, C. Ostermaier, M. Huber, D. Pogany:
"Leakage and voltage blocking behavior of carbon-doped GaN buffer layers";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Las Palmas de Gran Canaria, Spanien;
2017-05-22
- 2017-05-24.
-
C Koller, G. Pobegen, C. Ostermaier, M. Huber, D. Pogany:
"Transient capacitance analysis of thin carbon doped GaN layers";
Talk: Conference of Nitride Semiconductors (ICNS),
Strassbourg, Frankreich;
2017-07-24
- 2017-07-28.
-
C Koller, G. Pobegen, C. Ostermaier, D. Pogany:
"The role and mechanisms of carbon in insulating GaN buffers";
Talk: International workshop on nitride semiconductors (IWN),
Kanazawa (invited);
2018-11-11
- 2018-11-16.
-
C Koller, G. Pobegen, C. Ostermaier, D. Pogany:
"Trap-related localized breakdown in carbon-doped GaN";
Talk: International Conference on Physics of Semiconductor (ICPS),
Montpellier, France;
2018-07-29
- 2018-08-03.
-
C. Koller, R. Amsüss, S. Haslinger, Christoph Hufnagel, N. Lippok, C. Nowotny, M. Schramböck, S. Schneider, J. Majer, H.-J. Schmiedmayer:
"Hybrid Quantum Systems - Integrating solid state and atomic qubits";
Poster: Junior Scientist Conference 2010,
TU Wien;
2010-04-07
- 2010-04-09.
-
D. Kovac, G. Hobler:
"oeAmorphous pocket model based on the modified heat transport equation and local lattice collapse";
Talk: International Conference on Ion Beam Modification of Materials (IBMM),
Dresden, Deutschland;
2008-08-31
- 2008-09-05.
-
M. Krall, D. Bachmann, C. Deutsch, M. Brandstetter, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Thermal Characterization of Terahertz Quantum Cascade Lasers";
Talk: 4th International Nanophotonics Meeting 2014,
Igls;
2014-10-23
- 2014-10-25.
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Micropillar array quantum cascade terahertz emitters";
Talk: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
2012-06-26
- 2012-06-27.
-
M. Krall, M. Brandstetter, C. Deutsch, A. Benz, K. Unterrainer, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"In0.53Ga0.47As/GaAs0.51Sb0.49 Semiconductor Nanowires: Fabrication and Electrical Characterization";
Poster: GMe Forum 2012,
Wien;
2012-03-29
- 2012-03-30.
-
M. Krall, M. Brandstetter, C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Towards nanowire-based terahertz quantum cascade lasers: prospects and technological challenges";
Talk: SPIE Photonics West 2013,
San Francisco;
2013-02-02
- 2013-02-07.
-
C. Kranz, G. Friedbacher, B. Mizaikoff, A. Lugstein, J. Smoliner, E. Bertagnolli:
"Combining SECM and AFM - Integration of an Ultramicroelectrode in an AFM-Tip";
Poster: SXM4 4th International Conference on the Development and Technological Application of Scanning Probe,
Münster;
2000-09-25
- 2000-09-27.
-
C. Kranz, E. L. Heinz, B. Mizaikoff, A. Lugstein, E. Bertagnolli:
"Simultaneous Surface Modification and Imaging with Interated Scanning Electrochemical/Atomic Force Microscopy";
Poster: Pittcon,
Pittsburgh;
2002-03-17
- 2002-03-22.
-
C. Kranz, E. L. Huang, B. Mizaikoff, A. Lugstein, E. Bertagnolli:
"Integration of an Ultramicroelectrode in an AFM Cantilever - A Novel Approach for Combining AFM and SECM";
Poster: 2nd International Workshop on Scanning Electrochemical Microscopy,
Southampton, UK;
2001-06-25
- 2001-06-29.
-
C. Kranz, E. L. Huang, B. Mizaikoff, A. Lugstein, E. Bertagnolli:
"Towards Electrochemical Imaging on a Nanometer Scale with Submicro- and Nanoelectrodes Integrated in AFM-Tips";
Poster: IEEE-NANO 2001,
Maui, Hawaii;
2001-10-28
- 2001-10-30.
-
C. Kranz, E. L. Huang, B. Mizaikoff, H.-S. Noh, P. Hesketh, A. Lugstein, E. Bertagnolli:
"Electrochemical Imaging with Submicro- and Nanoelectodes Integrated in AFM-Tips";
Poster: 2nd Georgia Tech Conference on Nanoscience and Nanotechnology,
Atlanta, USA;
2001-09-19
- 2001-09-21.
-
C. Kranz, A. Kueng, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"AFM Tip Integrated Electrochemical Biosensors for Simultaneous Topographical and Activity Mapping of Soft Samples";
Talk: Annual Linz Winter Workshop on Scanning Probe Microscopy,
Linz, Austria;
2004-01-31
- 2004-02-02.
-
C. Kranz, A. Kueng, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"AFM-Tip Integrated Electrochmical Sensors - Simulaneous Electrochemical and AFM Imaging in Dynamic Mode Operation";
Talk: 205th Meeting of the Electrochemical Society,
San Antonio, TX;
2004-05-09
- 2004-05-13.
-
C. Kranz, A. Kueng, A. Lugstein, E. Bertagnolli, B. Mizaikoff:
"Simultaneous Tapping Mode Topographical and Electrochemical Imaging of Enzyme Activity with AFM-Tip Integrated Nanoelectrodes";
Poster: Pittcon,
Orlando, Florida, USA;
2003-03-09
- 2003-03-14.
-
C. Kranz, B. Mizaikoff, G. Friedbacher, A. Lugstein, J. Smoliner, E. Bertagnolli:
"Combining SECM and AFM - Integration of an Ultramicroelectrode in an AFM-Tip";
Poster: 4th International Conference on the Development and Technological Application of Scanning Probe ...,
Münster, Germany;
2001-09-25
- 2001-09-27.
-
D. Kriegner, M. Keplinger, A. M. Andrews, J. Stangl, G. Strasser, G. Bauer:
"Crystal structure and orientation of nanowires";
Poster: MRS Fall Meeting,
Boston, USA;
2009-11-30
- 2009-12-04.
-
W. Kuehn, W. Parz, P. Gaal, K. Reimann, M. Woerner, T. Elsaesser, T. Müller, J. Darmo, K. Unterrainer, M. Austerer, G. Strasser:
"Femtosecond Dynamics of a Midinfrared Quantum Cascade Laser";
Talk: Conference on Lasers and Electro-Optics (CLEO),
München, Deutschland;
2009-06-14
- 2009-06-19.
-
A. Kueng, C. Kranz, B. Mizaikoff, A. Lugstein, E. Bertagnolli:
"Integrated Ultramicroelectrode/AFM Cantilever for In-Situ Imaging of Enzyme Activity";
Poster: 4th Conference on Scanning Probe Microscopy, Sensors and Nanostructures,
Las Vegas;
2002-05-26
- 2002-05-29.
-
V. Kumar, H Karaca, S. Holland, H. Ritter, D. Pogany:
"Influencing SCR Holding Current by Segmentation Topology";
Talk: International Electrostatic Discharge workshop (IEW),
ONLINE Conference;
2021-05-17
- 2021-05-20.
-
J. Kuzmik:
"Technology, properties and characterization of InAlN/GaN HEMTs";
Talk: International RCIQE/CREST Joint Workshop,
Hokkaido (invited);
2010-03-01
- 2010-03-02.
-
J. Kuzmik, S. Bychikhin, E. Pichonat, C. Gaquière, G. Tsiakatouras, G. Deligeorgis, A. Georgakilas, R. Balmer, D. Pogany:
"Thermal Characterization of MBE-grown GaN/AlGaN/GaN on Single Crystalline Diamond";
Talk: International Workshop on Nitride Semiconductors 2010,
Tampa, Florida, USA;
2010-09-19
- 2010-09-24.
-
J. Kuzmik, S. Bychikhin, D. Pogany:
"Status and prospects in InAlN/(In)GaN HEMTs and nanosecond thermal analysis of III-Nitride devices";
Talk: European Microwave Week (EUMW),
Manchester, UK;
2006-09-14.
-
J. Kuzmik, C. Ostermaier, A. Alexewicz, J. Carlin, N. Grandjean, C. Dua, S. Delage, G. Strasser, D. Pogany, E. Gornik:
"Study of electrical performance and degradation of double-heterostructure InAlN/AlN/GaN/AlGaN/GaN transitors";
Poster: Conference of Nitride Semiconductors (ICNS),
Glasgow, UK;
2011-07-10
- 2011-07-15.
-
J. Kuzmik, D. Pogany, M. Blaho, E. Gornik, P. Javorka, M. Marso, P. Kordos:
"Breakdown and degradation processes in AlGaN/GaN HEMTs during electrostatic discharge";
Talk: Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE),
Fürigen, Switzerland;
2003-05-25
- 2003-05-28.
-
P. Lagger, M. Reiner, G. Denifl, M. Stadtmüller, D. Pogany, C. Ostermaier:
"Understanding the Fundamental Limitations for the Improvement of Forward Gate Bias induced Vth Drift Stability of GaN based MIS-HEMTs";
Talk: International Workshop on Nitride Semiconductors (IWN 2014),
Wroclaw;
2014-08-24
- 2014-08-29.
-
N Lambert, A. Taylor, P. Hubik, J. Bulir, J. More-Chevalier, H Karaca, C. Fleury, D. Pogany, V. Mortet:
"Modelling I-V characteristics of boron-doped diamond at high electric field including self-heating effect";
Poster: 30th International Conference on diamond and carbon materials,
Sevilla;
2019-09-08
- 2019-09-12.
-
S. Lancaster, A. M. Andrews, T. Zederbauer, D. MacFarland, H. Detz, G. Strasser:
"Impact of Annealing Temperature on Au/Zn/Au Contacts to GaAs Nanowires";
Poster: 19 th International Winterschool Mauterndorf 2016,
Mauterndorf;
2016-02-21
- 2016-02-26.
-
S. Lancaster, H. Groiss, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Heterostructure Formation in III-V Nanowires Grown on Silicon";
Talk: Vienna Young Scientists Symposium (VSS),
TU Wien;
2018-06-07
- 2018-06-08.
-
S. Lancaster, H. Groiss, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Incorporation of Boron in GaAs nanowires grown by self-catalysed molecular beam epitaxy";
Talk: Nanowire Week 2017,
Lund;
2017-05-29
- 2017-06-02.
-
S. Lancaster, J. Hillbrand, H. Knötig, M. Schinnerl, R. Weih, A. Schade, S. Höfling, W. Schrenk, A. M. Andrews, H. Detz, J.P. Waclawek, B. Lendl, B. Schwarz, G. Strasser:
"Deep etching of Interband Cascade Laser waveguides";
Talk: Workshop on Dry Processing for Nanoelectronics & Micromechanics Deposition & Etching,
Wien;
2019-09-17
- 2019-09-18.
-
S. Lancaster, M. Schinnerl, D. MacFarland, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser, H. Detz:
"Growth of self-catalyzed nanowire via focused ion beam implantation";
Poster: Nanowire Week 2017,
Lund;
2017-05-29
- 2017-06-02.
-
R. Langegger, A. Lugstein, E. Bertagnolli:
"Focused ion beam induced damage in germanium and synthesis of free-standing germanium nano-webs";
Talk: DPG-Frühjahrstagung 2012 (Spring Meeting of the Condensed Matter Section),
Berlin, Deutschland;
2012-03-25
- 2012-03-30.
-
R. Langegger, A. Lugstein, E. Bertagnolli:
"Focused Ion Beam Induced Damage in Highly Ordered Pyrolytic Graphite and Synthesis of Free-standing Graphite Nanosheets";
Talk: MRS Fall Meeting,
Boston, USA;
2010-11-29
- 2010-12-03.
-
H. Langfischer, B. Basnar, E. Bertagnolli, H. Hutter:
"Focused ion beam induced local tungsten deposition";
Poster: GMe Forum 2001,
Wien;
2001-04-05
- 2001-04-06.
-
H. Langfischer, S. Harasek, H. D. Wanzenböck, A. Lugstein, B. Basnar, E. Bertagnolli:
"Morphological Studies of Focused Ion Beam Induced Tungsten Deposition";
Poster: Meeting of the Electrochemical Society (ECS),
Paris, France;
2003-04-27
- 2003-05-02.
-
T. Le, J. Darmo, K. Unterrainer, A. Stingl, G. Tempea:
"Compact THz-source based on femtosecond Ti:S laser and intracavity photoconductive antenna";
Talk: Photonics West,
San Jose, California, USA;
2003-01-25
- 2003-01-31.
-
S. Leconte, S. Golka, G. Pozzovivo, G. Strasser, E. Monroy:
"Bi-stable behaviour in AlN/GaN/AlN resonant tunneling diodes";
Poster: International Conference on Electronic Properties of Two-Dimensional Systems and Modulated Semiconductor Structures,
Genova, Italien;
2007-07-15
- 2007-07-20.
-
S. Leconte, S. Golka, G. Pozzovivo, G. Strasser, E. Monroy:
"Bi-stable behaviour in GaN-based resonant tunneling diode structures";
Poster: Conference of Nitride Semiconductors (ICNS),
Las Vegas, USA;
2007-09-16
- 2007-09-20.
-
S. Leconte, S. Golka, G. Pozzovivo, G. Strasser, E. Monroy:
"Bi-stable behaviour in GaN-based resonant tunnelling diode structures";
Talk: E-MRS Spring Meeting,
Strasbourg, Frankreich;
2007-05-28
- 2007-06-01.
-
M. Leicht, G. Fritzer, B. Basnar, S. Golka, J. Smoliner:
"A reliable course of Scanning Capacitance Microscopy analysis applied for 2D-Dopant Profilings of Power MOSFET Devices";
Talk: 12th European Symposium on the Reliability of Electron Devices Failure Physics and Analysis (ESREF),
Bordeaux, France;
2001-10-01
- 2001-10-05.
-
U. Leischner, A. Schierloh, W. Zieglgansberger, H. Dodt:
"Improved imaging of neurons in the non-stained, formalin-fixed brain";
Poster: Neuroscience 2010,
San Diego;
2010-11-13
- 2010-11-17.
-
B. Lendl, M. Brandstetter, A. Genner, G. Ramer, Engelene Chrysostom, E. Mujagic, G. Strasser:
"Optical analytical measurement techniques based on quantum cascade laser";
Talk: FLAIR 2011,
Murnau (invited);
2011-09-13
- 2011-09-17.
More information
-
M. Liertzer, T. Hisch, S. Esterhazy, F. Mintert, D. Pogany, J. Melenk, S. Rotter:
"New solution strategies for the steady-state ab-initio laser theory and applications to random lasers";
Talk: MASOMO 2013,
Berlin (invited);
2013-04-10
- 2013-04-12.
-
S. Lindsey, G. Hobler:
"Simulation of Glancing Angle Sputtering with a Density Gradient Model to Represent Surface Roughness";
Talk: Particle-surface interactions: from surface analysis to materials processing (PASI),
Luxemburg;
2013-06-03
- 2013-06-05.
-
S. Lindsey, G. Hobler:
"Sputtering of Silicon at Glancing Incidence";
Talk: International Conference on Simulation of Radiation Effects in Solids,
Santa Fe, New Mexico, USA;
2012-06-24
- 2012-06-29.
-
S. Lindsey, G. Hobler:
"The Role/Relevance/Significance/Implications of Redeposition and Backscattering in Focused Ion Beam Milling/Nanostructure Formation by Focused Ion Beams";
Poster: E-MRS Spring Meeting,
Nice, Frankreich;
2011-05-09
- 2011-05-13.
-
S. Lindsey, G. Hobler, D. Maciazek, Z. Postawa:
"Simple model of surface roughness for binary collision sputtering simulations";
Poster: International Conference on Simulation of Radiation Effects in Solids,
Loughborough, GB;
2016-06-19
- 2016-06-24.
-
S. Lindsey, S. Waid, G. Hobler, H. D. Wanzenböck, E. Bertagnolli:
"Inverse Modeling of FIB Milling by Dose Profile Optimization";
Poster: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
2013-05-27
- 2013-05-31.
-
J. Linert, P. Taus, S. Prado-Lopez, M. Pribyl, M. Haslinger, M. Mühlberger, H. D. Wanzenböck:
"Multi Technology Approach for Biomedical Devices - Vat Photopolymerization and Microfabrication Hand in Hand";
Poster: Micro and Nano Engineering Conference 2021,
Turin;
2021-09-20
- 2021-09-23.
-
M. Litzenberger, K. Esmark, D. Pogany, C. Fürböck, H. Gossner, E. Gornik, W. Fichtner:
"Study of tiggering inhomogeneities in gg-nMOS ESD protection devices via thermal mapping using bachside laser interferometry";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Dresden, Germany;
2000-10-02
- 2000-10-06.
-
M. Litzenberger, R. Pichler, S. Bychikhin, D. Pogany, K. Esmark, H. Gossner, E. Gornik:
"Effect of pulse risetime on trigger homogeneity in single finger grounded gate nMOSFET electrostatic discharge protection structures";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bordeaux, France;
2001-11-01
- 2001-11-05.
-
S. Löffler, A. Lugstein, E. Auer, E. Bertagnolli:
"Epitaxial Catalyst-free Growth and Characterization of Nanowires of Strongly Correlated VO2 Exhibiting a MIT";
Talk: MRS Fall Meeting,
Boston, USA;
2008-12-01
- 2008-12-05.
-
A. Lugstein:
"A Novel, Focused Ion Beam Directed Route for the Local Synthesis of Nanopattern at Room Temperature";
Talk: EUSPEN,
Edinburgh;
2009-02-24
- 2009-02-25.
-
A. Lugstein:
"Controlling group IV nanowire synthesis for monolithic device integration";
Talk: Life Science Symposium (EPFL-LSS),
Lausanne, Schweiz (invited);
2013-08-28
- 2013-08-30.
-
A. Lugstein:
"Nanowires - building blocks for novel devices";
Talk: Institut de Ciencia de Materials de Barcelona (ICMAB-CSIC), Campus de Bellterra,
Barcelona, Spanien;
2015-02-23.
-
A. Lugstein:
"Si and Ge nanowires as building blocks for novel devices";
Talk: GMe Forum 2013,
Vienna (invited);
2013-06-06
- 2013-06-07.
-
A. Lugstein:
"Silicon and Germanium Nanowires as Building Blocks for Novel Devices";
Talk: Johannes Kepler Universität,
Linz (invited);
2013-10-14.
-
A. Lugstein:
"Silicon and Germanium Nanowires as Building Blocks for Novel Devices";
Talk: Montain Universität Leoben,
Leoben (invited);
2013-06-18.
-
A. Lugstein:
"Silicon and Germanium Nanowires as Building Blocks for Novel Devices";
Talk: Techn.Univ.Dresden,
Dresden, Deutschland (invited);
2013-04-25.
-
A. Lugstein:
"Tuning the electrical and electro-optical properties of group IV nanowires via strain";
Talk: International Conference on Nanoscience and Nanotechnology,
Canberra, Australia (invited);
2016-02-07
- 2016-02-11.
-
A. Lugstein:
"Wired quantum dots";
Talk: E-MRS Spring Meeting,
San Francisco, USA (invited);
2015-04-06
- 2015-04-10.
-
A. Lugstein, A. M. Andrews, M. Steinmair, Y.J. Hyun, E. Bertagnolli, M. Weil, P. Pongratz, M. Schramböck, T. Roch, G. Strasser:
"Nanotrees with III-V branches on Si nanowire trunks: Growth of GaAs (001) nanowhiskers";
Talk: E-MRS Spring Meeting,
Strasbourg, France;
2007-05-28
- 2007-06-01.
-
A. Lugstein, A. Avdic, E. Bertagnolli:
"FIB generated antimony nanowires as chemical sensors";
Talk: SPIE Europe: Microtechnologies for the New Millennium,
Dresden, Deutschland;
2009-05-04.
-
A. Lugstein, B. Basnar, E. Bertagnolli:
"Metallic nanodots realized by a subtractive self organization process";
Talk: European Materials Research Society (EMRS),
Strassbourg, France;
2004-05-24
- 2004-05-28.
-
A. Lugstein, B. Basnar, W. Brezna, M. Weil, S. Golka, E. Bertagnolli:
"Advanced nanopattern formation by a subtractive self organization process with Focused Ion Beams";
Poster: International Conference on Ion Beam Modification of Materials (IBMM),
Monterey, California, USA;
2004-09-05
- 2004-09-10.
-
A. Lugstein, B. Basnar, G. Strasser, E. Bertagnolli:
"A new approach fot the formation of size and site controlled metallic nano dots seeded by focused ion beams";
Poster: Materials Research Society Fall Meeting (MRS),
Boston, USA;
2003-12-01
- 2003-12-05.
-
A. Lugstein, B. Basnar, M. Weil, J. Smoliner, E. Bertagnolli:
"Advanced nanoscale material processing with focused ion beams";
Talk: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
San Diego;
2004-06-01
- 2004-06-04.
-
A. Lugstein, E. Bertagnolli:
"A Novel, Focused Ion Beam Directed Route for the Local Synthesis of Nanowires at Room Temperature";
Talk: Materials Research Society Fall Meeting (MRS),
Boston, USA (invited);
2005-11-28
- 2005-12-02.
-
A. Lugstein, E. Bertagnolli, C. Kranz, B. Mizaikoff:
"FIB Based Microfabrication Technique For A Novel Type on Scanning Electrochemical Mircoscopy Probes";
Poster: European FIB User Group Meeting,
Dresden, Germany;
2000-10-04.
-
A. Lugstein, W. Brezna, E. Bertagnolli:
"Nonuniform Channel MOS Device";
Poster: Symposium Ion Beam Processing of Semiconductor Devices (ESSDERC 2001 Short Course 1),
Erlangen, Germany (invited);
2001-09-11.
-
A. Lugstein, F. Brunbauer, S. Kral, C. Zeiner, E. Bertagnolli:
"Electron Transport Phenomena in Al-Ge⟨111⟩-Al Nanowire Heterostructures";
Talk: European Materials Research Society (EMRS),
Lille, Frankreich;
2016-05-02
- 2016-05-06.
-
A. Lugstein, L. Dobusch, M. M. Furchi, A. Pospischil, T. Müller, E. Bertagnolli:
"Giant thermovoltage in single layer MoS2 field-effect-transistors";
Talk: IUMRS 2014,
Fukuoka, Japan;
2014-08-24
- 2014-08-30.
-
A. Lugstein, M. Glaser, S. Glassner, S. Prucnal, A. Johannes, S. Conesa-Boj, C. Ronning, A. Fontcuberta i Morral, W. Skorupa, E. Bertagnolli:
"Quantum dots in group IV nanowires";
Talk: International Conference Smart and Multifunctional Maerias Structures & Systems,
Perugia, Italy (invited);
2016-06-05
- 2016-06-09.
-
A. Lugstein, M. Glaser, S. Prucnal, A. Johannes, C. Ronning, S. Conesa-Boj, F. Morral, E. Bertagnolli:
"Wired quantum dot heterostructures";
Talk: MRS Fall Meeting 2014,
Boston, MA, USA;
2014-11-30
- 2014-12-05.
-
A. Lugstein, S. Glassner, S. Kral, E. Bertagnolli:
"Hot electroluminescence in Si nanowires";
Talk: Nanowires,
Barcelona, Spain;
2015-10-26
- 2015-10-30.
-
A. Lugstein, J.M. Greil, S. Birner, E. Bertagnolli:
"Strain enabled photovoltaics", MRS, San Francisco";
Talk: MRS Spring Meeting,
San Francisco, USA;
2014-04-21
- 2014-04-25.
-
A. Lugstein, J.M. Greil, M. Steinmair, A. Steiger-Thirsfeld, E. Bertagnolli:
"Why putting strain on nanowires";
Talk: International Conference on Materials for Advanced Technologies (ICMAT),
Suntec, Singapore;
2011-06-26
- 2011-07-01.
-
A. Lugstein, J.M. Greil, C. Zeiner, J. Stangl, M. Keplinger, R. Grifone, D. Kriegner, C. Somaschini, L. Geelhaar, E. Bertagnolli:
"Tuning the electro-optical properties of nanowires by applying uniaxial and ultra-high strain";
Talk: Solid State Devices and Materials Conference (SSDM),
Kyoto, Japan;
2012-09-25
- 2012-09-27.
-
A. Lugstein, R. Langegger, M. Glaser, E. Bertagnolli:
"Advanced nanopattern Formation by a FIB induced subtractive self organization process";
Talk: Energy Materials Nanotechnology (EMN East Meeting),
Beijing, China;
2013-09-07
- 2013-09-10.
-
A. Lugstein, R. Langegger, M. Glaser, E. Bertagnolli:
"Advanced nanopattern formation by a subtractive self organization process with Focused Ion Beams";
Talk: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
2013-05-27
- 2013-05-31.
-
A. Lugstein, R. Langegger, M. Glaser, E. Bertagnolli:
"Focused ion beam induced synthesis of free-standing graphite nanosheets";
Talk: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
2011-05-29
- 2011-06-01.
-
A. Lugstein, R. Langegger, M. Glaser, E. Bertagnolli:
"Focused ion beam induced synthesis of free-standing graphite nanosheets";
Talk: International Conference on Materials for Advanced Technologies (ICMAT),
Suntec, Singapore;
2011-06-26
- 2011-07-01.
-
A. Lugstein, W. Molnar, P. Pongratz, M. Seyring, M. Rettenmayr, C. Borschel, C. Ronning, N. Auner, C. Bauch, E. Bertagnolli:
"Shape control method for prismatic Si-NWs by subeutectic VLS growth using cubic α-NiSi2 as catalyst";
Talk: European Materials Research Society (EMRS),
Strassburg, Frankreich;
2012-05-15
- 2012-05-17.
-
A. Lugstein, C. Schöndorfer, E. Bertagnolli:
"Synthesis of nanowires in room temperature ambient with a focused ion beam";
Talk: International Conference on Ion Beam Modification of Materials (IBMM),
Taormina, Italy;
2006-09-18
- 2006-09-22.
-
A. Lugstein, M. Sistani, M. Luong, M. den Hertog, E. Robin, S. Krall, P. Staudinger, S. Benter, M. Bartmann, E. Bertagnolli:
"Monolithic metal-semiconductor nanowire heterostructures for electrical and optical applications";
Talk: Interphotonics,
Antalya, Turkey (invited);
2018-11-04
- 2018-11-09.
-
A. Lugstein, M. Sistani, M. Luong, M. den Hertog, E. Robin, K. Sebastian, P. Staudinger, S. Benter, M. Bartmann, E. Bertagnolli:
"Synthesis and applications of monolithic metal-semiconductor nanowire heterostructures";
Talk: Nanowire Week,
Hamilton, Canada (invited);
2018-06-11
- 2018-06-15.
-
A. Lugstein, M. Sistani, P. Staudinger, J.M. Greil, M. Holzbauer, H. Detz, E. Bertagnolli:
"Room Temperature Quantum Ballistic Transport in Monolithic Al-Ge-Al Nanowire Heterostructures";
Talk: International Conference on Advanced Materials (IUMRS-ICAM),
Kyoto;
2017-08-27
- 2017-09-01.
-
A. Lugstein, M. Steinmair, C. Henkel, E. Bertagnolli:
"In place growth of vertical Si nanowires for surround gated MOSFETs with self aligned contact formation";
Talk: International Nanoelectronic Conference,
Hong Kong, China;
2010-01-03
- 2010-01-08.
-
A. Lugstein, M. Steinmair, C. Henkel, E. Bertagnolli:
"Vertical gate all around Si nanowire MOSFETs";
Talk: International Conference Micro- and Nanoelectronics (ICMNE),
Ghent, Belgien;
2009-09-28
- 2009-10-01.
-
A. Lugstein, M. Steinmair, A. Steiger-Thirsfeld, T. Burchhart, E. Bertagnolli:
"Integrating nanowires in building blocks for characterization and device applications";
Talk: Nanowire Workshop,
Heraklion, Greece (invited);
2010-09-27
- 2010-10-01.
-
A. Lugstein, M. Steinmair, A. Steiger-Thirsfeld, H. Kosina, E. Bertagnolli:
"Tuning the Electronic Properties of Ultra-strained Silicon Nanowires";
Talk: MRS Fall Meeting,
Boston, USA;
2010-11-29
- 2010-12-03.
-
A. Lugstein, M. Weil, E. Bertagnolli:
"Advanced nanopattern formation by a subtractive self organization process with Focused Ion Beams";
Poster: European FIB User Group Meeting,
Dübendorf, Schweiz;
2004-10-01.
-
A. Lugstein, C. Zeiner, T. Burchhart, E. Bertagnolli:
"Conductivity Modulation in Germanium Nanowires by Discrete Ion Implantation";
Talk: International Conference on Ion Beam Modification of Materials (IBMM),
Montreal;
2010-08-22
- 2010-08-27.
-
B. Lutzer, O. Bethge, C. Zimmermann, E. Bertagnolli:
"Ohmic contacts for resistance measurements of ultra-thin metal-on-silicon layers";
Talk: ÖPG-Jahrestagung,
Linz;
2013-09-03
- 2013-09-06.
-
H. Macchadani, M. Tchernycheva, L. Nevou, J. Mangeney, E. Warde, F.H. Julien, P. Kandaswamy, A. Wirthmuller, E. Monroy, A. Vardi, S. Schacham, G. Bahir, G. Pozzovivo, S. Golka, G. Strasser:
"GaN/AlGaN nanostructures for intersubband optoelectronics";
Talk: Conference of Nitride Semiconductors (ICNS),
Jeju, Korea;
2009-10-18
- 2009-10-23.
-
D. MacFarland, C. Deutsch, H. Detz, M. Krall, M. Brandstetter, T. Zederbauer, A. M. Andrews, K. Unterrainer, G. Strasser:
"Effects of MBE Growth Asymmetries in Symmetric THz Quantum Cascade Lasers";
Talk: International Terahertz Conference,
Villach;
2013-09-09
- 2013-09-10.
-
M. Madl, W. Brezna, G. Strasser, P. Klang, A. M. Andrews, J. Smoliner, M. Bodnarchuk, Kovalenko N.O., M. Yarema, W. Heiss:
"Mapping the local photoresponse of epitaxial and colloidal quantum dots by photoconductive atomic force microscopy";
Talk: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
2010-07-25
- 2010-07-30.
-
P. Mai, M. Sassermann, Z. Vörös, G. Weihs, A. M. Andrews, H. Detz, G. Strasser, K. Winkler, C. Schneider, S. Höfling, M. Kampel, A. Forchel:
"Parametric polariton scattering in coupled planar microcavities";
Poster: Annual Meeting of the Austrian and Swiss Physics Society,
Linz;
2013-09-03
- 2013-09-06.
-
H. Maier, P.O. Kellermann, N. Finger, E. Gornik, R. Winterhoff, F. Scholz:
"Visible red surface-emitting distributed-feedback lasers with a surface grating";
Poster: Volkswagen-Stiftung Photonik-Symposium 2001,
Baden, Austria;
2001-09-23
- 2001-09-25.
-
J. Maier, H. Detz, G. Strasser:
"Atomistic Interface Modeling in III-V Semiconductor Superlattices";
Talk: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
2015-09-01
- 2015-09-04.
-
O. Maier, M. Haslinger, M. Mühlberger, M. Pribyl, P. Taus, H. D. Wanzenböck, E. Guillen:
"Stability Considerations for Isolated and Dense High Aspect Ratio Nanopillars Replication by UV Nanoimprint Lithography";
Poster: Micro and Nano Engineering Conference 2021,
Turin;
2021-09-20
- 2021-09-23.
-
W. Mamanee, S. Bychikhin, D. Johnsson, N. Jensen, M. Stecher, E. Gornik, D. Pogany:
"Effect of Chip Heating on Thermal Breakdown Occurrence in SPT ESD Protection Devices Subjected to 0.5-1µs Long Current Pulses";
Poster: International electrostatic discharge workshop,
Lake Tahoe;
2009-05-18
- 2009-05-21.
-
W. Mamanee, D. Johnsson, S. Bychikhin, M. Stecher, K. Esmark, H. Gossner, E. Gornik, P. Rodin, D. Pogany:
"Pulse risetime effect on current filamentary modes and interaction of current filaments in ESD protection devices";
Talk: ESD workshop,
Tutzing, Deutschland;
2010-05-10
- 2010-05-13.
-
P. Marko, A. Alexewicz, M. Meneghini, G. Meneghesso, E. Zanoni, O. Hilt, J. Würfl, G. Strasser, D. Pogany:
"Pre-breakdown current fluctuations and RTS noise in reverse-bias-stressed AlGaN/GaN HEMTs";
Talk: International Workshop on Nitride Semiconductors 2012 (INW),
Sapporo, Japan;
2012-10-14
- 2012-10-19.
-
P. Marko, M. Meneghini, S. Bychikhin, D. Marcon, G. Meneghesso, E. Zanoni, D. Pogany:
"IV, noise and electroluminescence analysis of stress-induced percolation paths in AlGaN/GaN high electron mobility transistors";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Cagliari, Italy;
2012-10-01
- 2012-10-05.
-
M. Martl, J. Darmo, C. Deutsch, M. Brandstetter, A. Benz, A. M. Andrews, P. Klang, G. Strasser, K. Unterrainer:
"Optical properties of THz quantum cascade laser with subwavelength metallic waveguide";
Talk: Gemeinsame Jahrestagung von SPG, ÖPG, SGAA und ÖGAA in Lausanne,
Lausanne;
2011-06-15
- 2011-06-17.
-
M. Martl, J. Darmo, D. Dietze, A. Benz, C. Deutsch, H. Detz, A. M. Andrews, G. Strasser, K. Unterrainer:
"THz time domain spectroscopy of quantum cascade lasers";
Talk: SPIE Photonics West 2012,
San Franciso, California, USA (invited);
2012-01-21
- 2012-01-26.
-
M. Martl, J. Darmo, J. Kröll, E. Gornik:
"THz- TDS of surface plasmon polaritons on periodic metal arrays";
Talk: 1. THz Frischlinge - Meeting,
Freiburg, Germany (invited);
2007-04-01
- 2007-04-04.
-
M. Martl, C. Deutsch, M. Brandstetter, A. Benz, H. Detz, P. Klang, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"THz quantum cascade laser research in Vienna: Recent progress";
Talk: GDRI-CNRS workshop: Semiconductor Sources and Detectors of THz Radiation,
Tignes, Frankreich;
2011-03-29
- 2011-04-01.
-
M. Martl, C. Deutsch, M. Brandstetter, P. Klang, A. M. Andrews, G. Strasser, K. Unterrainer, J. Darmo:
"Analysis of THz QCL optical properties using a monolithically integrated THz emitter";
Poster: 20 Years of Quantum Cascade Laser Workshop,
Zürich, Schweiz;
2014-01-16
- 2014-01-17.
-
M. Martl, C. Deutsch, M. Krall, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer, J. Darmo:
"Analysis of THz Quantum Cascade Laser Gain Properties Using a Coupled Cavity";
Poster: GMe Forum 2012,
Wien;
2012-03-29
- 2012-03-30.
-
R. Meisels, R. Brunner, O. Glushko, S. Kalchmair, R. Gansch, G. Strasser:
"Using photonic crystal slabs to optimize quantum-well photo-dectectors";
Talk: International School and Conference on Photonics,
Belgrade, Serbia;
2011-08-29
- 2011-09-02.
-
G. Meneghesso, M. Meneghini, A. Zanandrea, F. Rampazzo, A. Stocco, M. Bertin, D. Pogany, E. Zanoni:
"Evidence for breakdown luminescence in AlGaN/GaN HEMTs";
Talk: International Workshop on Nitride Semiconductors 2012 (INW),
Sapporo, Japan;
2012-10-14
- 2012-10-19.
-
M. Meneghini, G Cibin, M. Bertin, S. Carraro, S. Marconi, M. Marioli, M. la Grassa, M. Ferretti, S. Bychikhin, D. Pogany, G. Strasser, E. Zanoni, G. Meneghesso:
"Comparison of breakdown characteristics of AlGaN/GaN HEMTs in voltage and current controlled mode: electrical and optical characterization";
Talk: Topical workshop on heterostructure microelectronics (TWHM),
Hakodate, Japan;
2013-09-02
- 2013-09-05.
-
J. Mika, M. Shawrav, H. D. Wanzenböck, M. Gavagnin, B. Ismail, C. Zeiner, A. Lugstein, M. Stöger-Pollach, E. Bertagnolli:
"Electrical tailoring of semiconductor nanodevices by Electron beam induced modification with chlorine";
Poster: 5th workshop on Focused Electron Beam Induced Processing FEBIP2014,
Frankfurt;
2014-07-22
- 2014-07-24.
-
G. Milovanovic, O. Baumgartner, M. Nobile, H. Detz, A. M. Andrews, G. Strasser, H. Kosina:
"Monte Carlo Simulation of an Al-free Quantum Cascade Laser";
Talk: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
2011-01-19
- 2011-01-20.
-
V. Mitin, A. Antipov, L. Chien, A. Sergeev, G. Strasser, N. Vagidov:
"QDIPs: Structures with Correlated Dot Clusters for Room Temperature Operation";
Talk: Int. WS on THz Plasmonic Devices: IWTPD 2009,
Tokyo, Japan (invited);
2009-11-02
- 2009-11-04.
-
V. Mitin, A. Antipov, L. Chien, A. Sergeev, G. Strasser, N. Vagidov, S. Birner:
"Quantum-Dot Infrared Photodetectors: Structures with Correlated Dot Clusters for Room Termperature Operation";
Poster: 16th International Winterschool Mauterndorf "New Developments in Solid State Physics",
Mauterndorf;
2010-02-22
- 2010-02-26.
-
V. Mitin, A. Antipov, L. Chien, A. Sergeev, G. Strasser, N. Vagidov, S. Birner:
"Room-Temperature Quantum-Dot Photodetectors: Structures with Correlated Dot clusters";
Talk: Advanced Workshop on Frontiers in Electronics (WOFE),
Rincon, Puerto Rico;
2009-12-13
- 2009-12-16.
-
V. Mitin, R. Ramaswamy, K. Wang, A. Muraviev, G. Strasser, A.G. Markelz, M. Shur, R. Gaska, A. Sergeev:
"THz Heterodyne Sensing with AlInN/GaN Hot-Electron Microbolometers using Quantum Cascade Lasers";
Talk: SPIE Defense, Security and Sensing,
Orlando, USA (invited);
2011-04-25
- 2011-04-29.
-
V. Mitin, A. Sergeev, L. Chien, A. Antipov, G. Strasser:
"Photodetectors on structures with vertically correlated quantum-dot clusters";
Talk: SPIE Defense, Security and Sensing 2010,
Orlando, USA (invited);
2010-04-05
- 2010-04-09.
-
V. Mitin, A. Sergeev, N. Vagidov, G. Strasser, A. Antipov, L. Chien:
"Quantum Dot Infrared Photodetectors: Structures with Vertically Correlated Dot Clusters";
Talk: 2010 Villa Conference on Interaction among Nanostructures (VCIAN),
Santorini, Greece (invited);
2010-06-21
- 2010-06-25.
-
T. Moldaschl, G. Lilley, M. Krall, C. Deutsch, A. Benz, G. Fasching, K. Unterrainer, A. M. Andrews, P. Klang, H. Detz, W. Schrenk, G. Strasser:
"Quantum Dot Spectroscopy and Terahertz Quantum Cascade Lasers";
Talk: IRON Workshop,
Linz;
2009-11-19
- 2009-11-20.
-
T. Moldaschl, T. Müller, S. Golka, W. Parz, G. Strasser, K. Unterrainer:
"Coherent Control of Ground State Excitons in the Nonlinear Regime within an Ensemble of InAs Quantum Dots";
Talk: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01.
-
W. Molnar, A. Lugstein, P. Pongratz, M. Seyring, M. Rettenmayr, C. Borschel, N. Auner, C. Bauch, E. Bertagnolli:
"Shape Control Method for Prismatic Si-NWs by Subeutectic VLS Growth Using Cubic alpha-NiSi2 as Catalyst.";
Talk: MRS Fall Meeting,
Boston, MA, USA;
2011-11-28
- 2011-12-02.
-
O. Moutanabbir, B. Terreault, M. Chicoinec, J. Simpson, T. Zahel, G. Hobler:
"Hydrogen/Deuterium-defect complexes involved in the ion-cutting of Si(001) at the sub-100 nm scale";
Talk: International Conference on Defects in Semiconductors,
Awaji Island, Hyogo, Japan (invited);
2005-07-24
- 2005-07-29.
-
M. Mühlberger, S. Ruttloff, D. Nees, A. Moharana, M. Belegratis, P. Taus, H. D. Wanzenböck, S. Kopp, P. Schuller, A. Tsenov, M. Schinnerl, M. Shawrav, M. Haslinger, A. Prinz, D. Fechtig:
"Replication of biomimetic, multilevel undercut nanostructures using UV-based Nanoimprinting";
Poster: Micro and Nano Engineering Conference 2021,
Turin;
2021-09-20
- 2021-09-23.
-
T. Müller:
"Electron dynamics in semiconductor quantum dots";
Talk: Workshop on Carged-Particle Transport through Nanostructures and Solids,
Debrecen, Hungary (invited);
2003-11-14
- 2003-11-16.
-
T. Müller:
"Terahertz Emission kohärenter Plasmonen";
Talk: Universität Erlangen-Nürnberg,
Erlangen, Germany;
2001-12-07.
-
T. Müller, R. Bratschitsch, W. Parz, G. Strasser, K. Unterrainer:
"Intersubband Polarization and Carrier Dynamics";
Talk: 26th Int. Conf. on the Physics of Semiconductors,
Edinburgh, Scottland;
2002-07-29
- 2002-08-02.
-
T. Müller, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Direct measurement of intersubband dynamics";
Talk: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Santa Fe, New Mexico, USA;
2001-08-27
- 2001-08-31.
-
T. Müller, R. Bratschitsch, G. Strasser, K. Unterrainer:
"Population dynamics in quantum structures";
Talk: 13th International Conference on Ultrafast Phenomena,
Vancouver, Canada;
2002-05-12
- 2002-05-17.
-
T. Müller, R. Bratschitsch, G. Strasser, K. Unterrainer, A. Poppe:
"Few-cycle mid-infrared emission from quantum beats in semiconductor nanostructures";
Poster: ITW 2000,
Sandbjerg Estate, Dänemark;
2000-09-17
- 2000-09-19.
-
T. Müller, J. Darmo, R. Bratschitsch, W. Parz, G. Strasser, K. Unterrainer:
"Probing electron dynamics in nanostructures by ultrabroadband THz pulses";
Poster: Laserseminar Mauterndorf,
Mauterndorf, Österreich;
2003-03-17
- 2003-03-21.
-
T. Müller, W. Parz, T. Moldaschl, G. Strasser, K. Unterrainer:
"Femtosecond spectral hole burning spectroscopy as a probe of exciton dynamics dots";
Talk: 13th Int. Symposium on Ultrafast Phenomena in Semiconductors,
Vilnius, Lithuania (invited);
2007-08-26
- 2007-08-29.
-
T. Müller, W. Parz, G. Strasser, K. Unterrainer:
"Intraband relaxation of photoexcited electrons in GaAs/AlGaAs quantum wells and InAs/GaAs selfassambled quantum dots";
Talk: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
2003-07-28
- 2003-08-01.
-
T. Müller, W. Parz, G. Strasser, K. Unterrainer:
"Quantum Interfernce of Intesubband Transitions in GaAs/AlGaAs Quantum Wells";
Talk: ITQW,
Evolène, Switzerland;
2003-09-01
- 2003-09-05.
-
T. Müller, F. F. Schrey, G. Strasser, K. Unterrainer:
"Electron Capture and Relaxation in InAs/GaAs Self-Assembled Quantum Dots";
Poster: ITQW,
Evolène, Switzerland;
2003-09-01
- 2003-09-05.
-
T. Müller, F. F. Schrey, G. Strasser, K. Unterrainer:
"Interband pump - intraband probe spectroscopy of quantum dots";
Poster: International conference on Ultrafast Optics (UFO IV.),
Wien, Österreich;
2003-06-29
- 2003-07-03.
-
T. Müller, F. Schrey, G. Fasching, J. Darmo, J. Kröll, W. Parz, K. Unterrainer, A.M. Andrews, T. Roch, W. Schrenk:
"Generation and application of THz radiation in semiconductor nanostructures";
Talk: European Materials Research Society Spring Meeting,
Nice, France (invited);
2006-05-29
- 2006-06-02.
-
T. Müller, G. Strasser, K. Unterrainer:
"Exciton spin relaxation in semiconductor quantum dots";
Poster: CLEO/QELS and PhAST 2006,
Long Beach California, USA;
2006-05-21
- 2006-05-26.
-
E. Mujagic, L. Hoffmann, S. Schartner, M. Nobile, H. Detz, W. Schrenk, M. Semtsiv, W. Masselink, G. Strasser:
"Beam Shaping in Quantum Cascade Ring Lasers";
Talk: Conference on Lasers and Electro-Optics (CLEO),
München, Deutschland;
2009-06-14
- 2009-06-19.
-
E. Mujagic, M. Nobile, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, C. Deutsch, K. Unterrainer, J. Chen, C. Gmachl:
"Ring Resonator based Surface Emitting Quantum Cascade Lasers";
Talk: Photonics West,
San Francisco, USA;
2010-01-23
- 2010-01-28.
-
E. Mujagic, C. Schwarzer, M. Nobile, H. Detz, W. Schrenk, Y. Yao, W. Charles, C. Gmachl, G. Strasser:
"Quantum Cascade Laser Arrays based on Surface Emitting Ring Cavities";
Talk: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
2010-08-30
- 2010-09-03.
-
E. Mujagic, C. Schwarzer, W. Schrenk, G. Strasser, Y. Yao, J. Chen, C. Gmachl:
"Two-dimensional surface emitting single mode quantum cascade laser arrays";
Talk: Photonics West,
San Francisco, USA;
2011-01-22
- 2011-01-27.
-
E. Mujagic, C. Schwarzer, W. Schrenk, Y. Yao, W. Charles, J. Chen, C. Gmachl, G. Strasser:
"Broadband surface emitting quantum cascade laser arrays";
Talk: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
2011-01-19
- 2011-01-20.
-
E. Navickas, S. Löffler, O. Bethge, J. Fleig:
"In- and Across-Plane Conductivities of YSZ Layers Obtained from a Single Impedance Measurement";
Poster: Annual SFB FOXSI PhD Workshop "FOXSKI",
Göstling/Ybbs;
2014-04-07
- 2014-04-10.
-
D Nazzari, V Ritter, J Genser, O. Bethge, E. Bertagnolli, A. Lugstein:
"Growth of submonolayer, monolayer and multilayer silicene structures and their passivation by few-layer graphene allowing ex-situ Raman characterization";
Talk: Topical Workshop on 2D materials at the Paul Drude Institut,
Berlin;
2019-04-08
- 2019-04-10.
-
R. Nedzinskas, B. Cechavicius, J. Kavaliauskas, A. Cerskus, J. Kundrotas, V. Karpus, V Tamosiunas, G. Valusis, G. Fasching, K. Unterrainer, G. Strasser:
"Optical study of InAs quantum dot stacks embedded in GaAs/AlAs superlattices";
Talk: Semiconducting and Insulating Materials Conference,
vilnius, Lithuania;
2009-06-15
- 2009-06-19.
-
R. Nedzinskas, B. Cechavicius, J. Kavaliauskas, V. Karpus, G. Krivaite, V Tamosiunas, G. Valusis, F. Schrey, K. Unterrainer, G. Strasser:
"The energy spectrum of InAs quantum dots in GaAs/AlAs superlattices";
Poster: 13th Int. Symposium on Ultrafast Phenomena in Semiconductors,
Vilnius, Lithuania;
2007-08-26
- 2007-08-29.
-
R. Nedzinskas, J. Cechavicius, J. Kavaliauskas, V. Karpus, D. Seliuta, V Tamosiunas, G. Valusis, F. Schrey, K. Unterrainer, G. Strasser:
"Electronic Structure of InAs Quantum Dots in GaAs/AlAs Superlattice";
Poster: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01.
-
S. Noack, A. Johannes, H. Holland-Moritz, M. Glaser, A. Lugstein, C. Ronning:
"Enhanced Sputtering Effects of Ion Irradiated Silicon Nanowires";
Poster: DPG-Frühjahrstagung der Sektion Kondensierte Materie,
Dresden;
2014-03-30
- 2014-04-04.
-
M. Nobile, M. Austerer, H. Detz, S. Schartner, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser:
"Nonlinear wave-mixing in twin-waveguide GaAs/AlGaAs quantum-cascade lasers";
Talk: International Quantum Cascade Lasers School & Workshop,
Monte Verita, Ascona, Switzerland;
2008-09-14
- 2008-09-19.
-
M. Nobile, H. Detz, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"A new Al-free material system for intersubband emitters and detectors";
Talk: 16th International Conference on Electron Dynamics In Semiconductors, Optoelectronics and Nanostructures,
Montpellier, Le Corum;
2009-08-24
- 2009-08-28.
-
M. Nobile, H. Detz, E. Mujagic, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"InGaAs/GaAsSb Heterostructures: Aluminum-Free Intersubband Devices";
Talk: Materials Research Society Fall Meeting (MRS),
Boston, USA;
2009-11-30
- 2009-12-04.
-
M. Nobile, S. Schartner, E. Mujagic, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Intersubband absorption in InGaAs/GaAsSb multi quantum wells";
Poster: Modulated Semiconductor Structures 14 (MSS-14),
Kobe, Japan;
2009-07-19
- 2009-07-24.
-
K. Nordlund, F. Djurabekova, G. Hobler:
"Effect of atom sizes in ionic compounds on channeling: channeling map analysis";
Poster: International Conference on Radiation Effects in Insulators,
Versailles, France;
2017-07-02
- 2017-07-07.
-
G. Notermans, H. Ritter, S. Holland, D. Pogany:
"A physical approach to dynamic clamp modeling";
Poster: IEW 2018,
Priorij Corsendonk;
2018-05-14
- 2018-05-18.
-
S. Özcan, T. Roch, G. Strasser, R. Franke, T. Fritz:
"Ballistic Electron Emission Microscopy/Spectroscopy on Au/Titanylphthalocyanine/GaAs Heterostructures";
Talk: Infrared Optical Nanostructures workshop,
Wien;
2006-05-12.
-
S. Özcan, T. Roch, G. Strasser, J. Smoliner, R. Franke, T. Fritz:
"Ballistic Electron Emission Microscopy/Spectroscopy on Au/Titanylphthalocyanine/GaAs Heterostructures";
Poster: International Conference on Nanoscience and Technology (ICNT),
Basel Switzerland;
2006-07-30
- 2006-08-04.
-
S. Özcan, J. Smoliner, A. M. Andrews, G. Strasser, T. Dienel, T. Fritz:
"Ballistic Electron Attenuation Length in Titanylphthalocyanine Films Grown on";
Poster: International Conference on Physics of Semiconductor (ICPS),
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01.
-
S. Özcan, J. Smoliner, A. M. Andrews, G. Strasser, T. Dienel, T. Fritz:
"Hot electron transport through titanylphthalocyanine films";
Talk: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Tokyo, Japan;
2007-07-23
- 2007-07-27.
-
S. Özcan, J. Smoliner, A. M. Andrews, G. Strasser, R. Franke, T. Fritz:
"Ballistic Electron Transport trough on titanylphthalocyanine films"; Organic Thin Film Electronics: From Molecular Contacs to Devices";
Poster: DPG Tagung,
Regensburg, Deutschland;
2007-03-25
- 2007-03-30.
-
S. Özcan, J. Smoliner, T. Dienel, T. Fritz:
"Ballistic Electron Transport through Thin Organic Films";
Talk: International Conference on Nanoscience and Technology (ICNT),
Keystone, Colorado, USA;
2008-07-20
- 2008-07-25.
-
S. Özcan, J. Smoliner, T. Dienel, T. Fritz:
"Investigation of metal/organic interfaces using ballistic electron emission";
Talk: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01.
-
N Opacak, F. Pilat, D. Kazakov, S. Dal Cin, G. Ramer, B. Lendl, F. Capasso, G. Strasser, B. Schwarz:
"Measuring the Linewidth Enhancement Factor during Frequency Comb Operation";
Talk: European Semiconductor Laser Workshop,
virtuell;
2021-09-17
- 2021-09-18.
-
C. Ostermaier, S. Ahn, K. Potzger, M. Helm, S. Kalchmair, D. Pogany, J. Lee, S. Hahm, J. Lee:
"Realization of Inversion-type GaN MOSFETs with Ar Implantation for Device Isolation";
Poster: Junior Scientist Conference 2008,
Technische Universität Wien;
2008-11-16
- 2008-11-18.
-
C. Ostermaier, P. Lagger, M. Alomari, P. Herfurth, D. Maier, A. Alexewicz, M. di Forte Poisson, S. Delage, G. Strasser, D. Pogany, E. Kohn:
"Reliability Investigation of the Degradation of the Surface Passivation of InAlN/GaN HEMTs using a Dual Gate Structure";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Cagliari, Italy;
2012-10-01
- 2012-10-05.
-
C. Ostermaier, P. Lagger, G. Prechtl, A. Grill, T. Grasser, D. Pogany:
"The role of electron transport in the charge trapping at the III-N/dielectric interface in AlGaN/GaN MIS-HEMT structures";
Talk: Semiconductor Interface Specialists Conference,
Arlington, VA, USA;
2015-12-02
- 2015-12-05.
-
C. Ostermaier, P. Lagger, M. Reiner, A. Grill, R. Stradiotto, G. Pobegen, T. Grasser, R. Pietschnig, D. Pogany:
"Review of bias-temperature instabilities at the III-N/dielectric interface";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bordequx, Frankreich;
2017-09-25
- 2017-09-28.
-
C. Ostermaier, P. Lagger, M. Reiner, C Koller, G. Pobegen, D. Pogany:
"Dielectrics for GaN and GaN as dielectric: The role of interface and bulk defects";
Talk: Workshop on Dielectrics in Microelectronics (WODIM),
Berlin;
2018-06-11
- 2018-06-14.
-
C. Ostermaier, P. Lagger, M. Reiner, G. Pobegen, D. Pogany:
"Is PBTI at the dielectric/III‐N interface limited by interface traps?";
Talk: WOCSEMMAD,
San Antonio, TX, USA;
2014-02-16
- 2014-02-17.
-
C. Ostermaier, P. Lagger, M. Reiner, G. Pobegen, D. Pogany, G. Prechtl, T. Detzel, O. Häberlen:
"The role of defects on reliability aspects in GaN power devices";
Talk: IEEE International Reliability Workshop (IIRW),
Fallen Leaf Lake (invited);
2019-10-13
- 2019-10-17.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, S. Ahn, H. Detz, P. Klang, A. M. Andrews, Y. Douvry, C. Gaquière, J. De Jaeger, L. Toth, B. Pecz, M. Gonschorek, E. Feltin, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"Improvements of High Performance 2-nm-thin InAlN/AlN Barrier Devices by Interface Enfineering";
Talk: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
2010-07-25
- 2010-07-30.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, K. Cico, K. Fröhlich, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"Depletion and Enhancement Mode InAlN/GaN HEMTs for Digital Circuits";
Talk: International Symposium on Compound Semiconductors (ISCS),
Santa Barbara, California, USA;
2009-08-30
- 2009-09-02.
-
C. Ostermaier, G. Pozzovivo, J. Carlin, B. Basnar, W. Schrenk, K. Cico, K. Fröhlich, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"High Performance of Thermally Stable Enhancement-Mode HEMTs on In/AlN/GaN Heterostructures";
Poster: ÖPG-Jahrestagung,
Innsbruck;
2009-09-02
- 2009-09-04.
-
G. Otto, G. Hobler, K. Gärtner:
"Defect characterization of 10-200 eV recoil events in silicon using classical molecular dynamcs ";
Talk: 6th Int. Conf. Computer Simulation of Radiation Effects in Solids,
Dresden, Deutschland;
2002-06-23
- 2002-06-27.
-
G. Otto, G. Hobler, L. Palmetshofer, P. Pongratz:
"Comparison of TEM image contrast simulations of amorphous pockets in Si as obtained by molecular dynamics simulations with experimental results";
Talk: 8th Intern. Conf. on Computer Simulation of Radiation Effects in Solids (COSIRES 2006),
Richland, Washington, USA;
2006-06-18
- 2006-06-23.
-
G. Otto, G. Hobler, L. Palmetshofer, P. Pongratz:
"Verification of MD Results on Amorphous Pockets in Si using TEM Image Contrast Simulations";
Talk: 8th Intern. Conf. on Computer Simulation of Radiation Effects in Solids (COSIRES 2006),
Richland, Washington, USA;
2006-06-18
- 2006-06-23.
-
C. Pacher, G. Fasching, M. Kast, G. Strasser, E. Gornik:
"Study of Electron-Phonon Scattering in wide GaAs Quantum Wlls utilizing Hot Electron Spectroscopy";
Poster: 26th Int. Conf. on the Physics of Semiconductors,
Edingburgh, Scotland;
2002-07-29
- 2002-08-02.
-
C. Pacher, M. Kast, E. Gornik:
"Adjusting the coherent transport and tunneling time in finite periodic superlattices";
Poster: 11th International Conference on Modulated Semiconductor Structures (MSS11),
Nara, Japan;
2003-07-14
- 2003-07-18.
-
C. Pacher, M. Kast, G. Strasser, E. Gornik:
"Determination of the GaAs/AlAs/GaAs band structure and the intervalley scattering rates by ballistic electron spectroscopy";
Poster: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
2003-07-28
- 2003-08-01.
-
C. Pacher, G. Strasser, E. Gornik, F. Elsholz, G. Kießlich, A. Wacker, E. Schöll:
"Optics with ballistic electrons: antiflection coatings for GaAs/AlGaAs superlattices";
Poster: 14th International Conference On the Electric Properties of Two-dimensional Systems,
Prague, Czech Republic;
2001-07-30
- 2001-08-03.
-
C. Pacher, G. Strasser, E. Gornik, F. Elsholz, A. Wacker, G. Kießlich, E. Schöll:
"Optics with Electrons: Fabry-Pérot Resonances and Anti-Reflection Coating for Ballistic Elctrons in Finite Superlattices";
Talk: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
2001-07-23
- 2001-07-27.
-
V Padovan, C Koller, G. Pobegen, C. Ostermaier, D. Pogany:
"Stress and recovery dynamics of drain current in GaN HD-GIT submitted to DC semi-on stress";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Toulouse;
2019-09-23
- 2019-09-26.
-
L. Palmetshofer, M. Gritsch, G. Hobler:
"Range ot ion-implanted rare earth element in Si and Si02";
Poster: Materials Research Society Spring Meeting (MRS),
STrasbourg, France;
2000-05-31
- 2000-06-02.
-
W. Parz, T. Müller, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Time domain spectroscopy of quantum cascade lasers: Gain clamping, spectral narrowing and short pulse circulation";
Poster: ITQW07,
Ambleside, UK;
2007-09-09
- 2007-09-14.
-
W. Parz, T. Müller, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Ultrafast Probing of the Complex Refractive Index in a Active Mid Infrared Quantum Cascade Laser";
Talk: CLEO/QELS and PhAST 2008,
San Jose McEnery Convention Center, California,USA (invited);
2008-05-04
- 2008-05-09.
-
W. Parz, T. Müller, M. Austerer, G. Strasser, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts, K. Unterrainer:
"Ultrafast Spectroscopy as a Probe of Light-Matter Interaction in a Mid-Infrared Quantum Cascade Laser";
Talk: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01.
-
W. Parz, T. Müller, J. Darmo, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Ultrafast Probing of the Complex Refractive Index in an active Mid-Infrared Quantum Cascade Laser";
Talk: CLEO San Jose,
San Jose (invited);
2008-05-07.
-
W. Parz, T. Müller, J. Darmo, M. Austerer, G. Strasser, K. Unterrainer, L.R. Wilson, J.W. Cockburn, A.B. Krysa, J.S. Roberts:
"Ultrafast Spectroscopy as a Probe of Intersubband Gain Dynamics in mid-infrared Quantum Cascade Lasers";
Talk: ADLIS workshop,
München, Deutschland;
2009-03-02
- 2009-03-04.
-
M. Paur, A.J. Molina- Mendoza, R. Bratschitsch, K. Watanabe, T. Taniguchi, T. Müller:
"Electroluminescence from multi-particle exciton complexes in monolayer WSe2";
Poster: Graphene Study 2019,
Obergurgl;
2019-02-03
- 2019-02-08.
-
M. Pende, K. Becker, M. Wanis, S. Saghafi, R. Kaur, C. Hahn, T. Hummel, H. Dodt:
"Light sheet microscopy of cleared and fluorescently labeled whole adult fruit fly Drosophila melanogaster";
Poster: Annual Meeting of the Austrian Neuroscience Association,
Klosterneuburg;
2017-09-24
- 2017-09-26.
-
M. Pende, M. Wanis, R. Kaur, K. Becker, T. Hummel, H. Dodt:
"Light sheet microscopy of cleared and fluorescently labeled whole adult fruit fly Drosophila melanogaster";
Poster: International ELMI meeting,
Dubrovnik, Kroatien;
2017-05-23
- 2017-05-26.
-
R. Penjweini, K. Kratky, H. Dodt, S. Saghafi:
"Characterizizing the effects of ceoherent laser beams and noncoherent LED beams on annihaliation of bread and fungus";
Poster: EOS European Optical Society Annual Meeting,
Capri, Italien;
2011-09-26
- 2011-09-28.
-
P. Pertl, M. Seifner, A. Lugstein, S. Barth:
"Low Temperature Synthesis of Germanium Nanorods and Nanowires";
Talk: 17th Austrian Chemistry Days 2017,
Salzburg;
2017-09-25
- 2017-09-27.
More information
-
P. Pertl, M. Seifner, A. Lugstein, S. Barth:
"Low Temperature Synthesis of Germanium Nanorods and Nanowires";
Poster: 2017 MRS Fall Meeting Boston ; Symposium EM10: Solution-Processed Inorganics for Electronic and Photonic Device Applications,
Boston;
2017-11-26
- 2017-12-01.
More information
-
C. Pflügl:
"Quantum design of semiconductor lasers";
Talk: NanoForum 2005,
Linz, Österreich (invited);
2005-05-26
- 2005-05-27.
-
C. Pflügl:
"Quantum Dot Intraband Emission";
Talk: Workshop on THz Electronics and Quantum Heterostructures,
Regensburg, Deutschland (invited);
2004-02-05.
-
C. Pflügl, M. Austerer, S. Golka, S. Schartner, W. Schrenk, M. Schramböck, T. Roch, A. M. Andrews, G. Strasser:
"Second Harmonic generation in Quantum cascade lasers";
Talk: NMR EU Projekt FW6 ANSWER workshop,
Wien, Österreich;
2005-10-07.
-
C. Pflügl, M. Austerer, S. Golka, S. Schartner, W. Schrenk, G. Strasser:
"Nonlinear Light Generation in Quantum Cascade Lasers";
Talk: Pontificia Universidade Católica do Rio de Janeiro,
Rio de Janeiro, Brazil (invited);
2006-05-23.
-
C. Pflügl, M. Austerer, W. Schrenk, T. Roch, S. Anders, G. Strasser:
"Surface emitting quantum cascade laser";
Talk: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
2004-07-26
- 2004-07-30.
-
C. Pflügl, M. Austerer, W. Schrenk, T. Roch, G. Strasser:
"Bound-to-Continuum GaAs/AlGaAs Quantum Cascade Laser";
Poster: 13th Int. Winterschool on New Developments in Solid State Physics,
Mauterndorf, Österreich;
2004-02-15
- 2004-02-20.
-
C. Pflügl, J. Darmo, R. Bratschitsch, T. Müller, W. Schrenk, S. Anders, T. Roch, K. Unterrainer, G. Strasser:
"High Power mid- and far-infrared simiconductor emitters";
Poster: Laserseminar Mauterndorf,
Mauterndorf, Österreich;
2003-03-17
- 2003-03-21.
-
C. Pflügl, S. Golka, M. Austerer, W. Schrenk, S. Schartner, G. Strasser:
"Single mode quantum cascade lasers";
Talk: Optics East 2005,
Boston, USA;
2005-10-23
- 2005-10-26.
-
C. Pflügl, M. Litzenberger, W. Schrenk, S. Anders, D. Pogany, E. Gornik, G. Strasser:
"Interferometric Temperature Mapping of GaAs-based Quantum Cascade Laser Ridges";
Talk: 29th Int. Symp. on Comp. Semicond. (ISCS 2002),
Lausanne, Switzerland;
2002-10-07
- 2002-10-10.
-
C. Pflügl, M. Litzenberger, W. Schrenk, S. Anders, D. Pogany, E. Gornik, G. Strasser:
"Thermal Dynamics of CaAs-based quantum cascade lasers";
Talk: Photonics West,
San Jose, USA;
2003-01-25
- 2003-01-31.
-
C. Pflügl, W. Schrenk, S. Anders, G. Strasser:
"Quantum dot intersubband emitter";
Talk: ITQW,
Evolène, Switzerland;
2003-09-01
- 2003-09-05.
-
C. Pflügl, W. Schrenk, M. Kast, T. Roch, K. Unterrainer, G. Strasser:
"Utilizing quantum dots in unipolar intraband emitters";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
2004-07-26
- 2004-07-30.
-
A. Pfnier, M. Coquelin, A. M. Andrews, P. Klang, H. Detz, P. Bakshi, G. Strasser, E. Gornik:
"Intersubband Plasmons in InGaAs Quantum Wells";
Poster: GMe Forum 2011,
Vienna, Austria;
2011-04-14
- 2011-04-15.
-
A. Pfnier, M. Coquelin, J. Silvano de Sousa, P. Klang, G. Strasser, E. Gornik:
"Intersubband Plasmons in InGaAs Quantum Wells";
Poster: Annual Meeting of the Austrian and Swiss Physics Society,
Lausanne, Schweiz;
2011-06-15
- 2011-06-17.
-
D. Piester, M. Ursu, A.A. Ivanov, A.S. Bakin, H.-H. Wehmann, A. Schlachetzki, T. Klaffs, B. Güttler, G. Strasser, E. Gornik:
"InGaAs/InP-Nanostrukturen für Quantenkaskadenlaser";
Poster: Volkswagen-Stiftung Photonik-Symposium 2001,
Baden, Austria;
2001-09-23
- 2001-09-25.
-
F. Pilat, B. Schwarz, H. Detz, A. M. Andrews, B. Baumgartner, B. Lendl, G. Strasser, B. Hinkov:
"Microfluidic Sensing based on a Monolithic Mid-Infrared QCLD";
Poster: International Congress BioNanoMed,
Graz;
2019-04-15
- 2019-04-17.
-
G. Pillwein, G. Brunthaler, G. Strasser:
"Characterization of lateral quantum dots fabricated by e-beam lithography";
Poster: GMe Forum 2003,
Wien, Austria;
2003-04-10
- 2003-04-11.
-
G. Pillwein, G. Brunthaler, G. Strasser:
"Conductance Fluctuations in the Coulomb Blockade Regime in AlGaAs Quantum Dots";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
2004-07-26
- 2004-07-30.
-
G. Pillwein, G. Brunthaler, G. Strasser:
"Fabrication and Characterisation of Lateral Quantum Dots in GaAs/AlGaAs Heterostructures";
Poster: 13th Int. Winterschool on New Developments in Solid State Physics,
Mauterndorf, Österreich;
2004-02-15
- 2004-02-20.
-
G. Pillwein, G. Brunthaler, G. Strasser:
"Leitwertfluktuation im Coulomb Blockade Regime von AlGaAs Quantenpunkten";
Poster: 54. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Linz, Austria;
2004-09-28
- 2004-09-30.
-
A. Podgaynaya, R. Rudolf, B. Elattari, D. Pogany, E. Gornik, M. Stecher:
"Single pulse energy capability and failure modes of n- and p- channel LDMOS with thick copper metallization";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Italy;
2010-10-11
- 2010-10-15.
-
D. Pogany:
"Analysis of nanosecond time scale current flow dynamics in semiconductor devices with S-shape current-voltage characteristics";
Talk: Seminar Czech Academy of Sciences,
Prag (invited);
2018-03-02.
-
D. Pogany:
"Effects of interface and buffer defects on performance limitations and reliability of GaN HFETs";
Talk: Seminarvortrag am Ioffe Physicotechnical Institute of Russian Academy of Sciences,
St. Petersburg (invited);
2019-07-18.
-
D. Pogany:
"GaN device and characterization activities at TU Wien";
Talk: Seminar at Institute of Electrical Engineering, Slovak Academy of Sciences,
Bratislava (invited);
2016-06-24.
-
D. Pogany:
"GaN electronics and optoelectronics from ESD perspective";
Talk: International Electrostatic Discharge workshop (IEW),
Villard de Lans, France (invited);
2014-05-19
- 2014-05-22.
-
D. Pogany:
"GaN HEMT technology and device reliability activities at TU Vienna";
Talk: Nanotechnology Institute,
INSA de Lyon, Villeurbanne, France (invited);
2014-05-23.
-
D. Pogany:
"GaN HEMT/MIS-HEMT technology and device reliability activities at TU Vienna";
Talk: MINATEC,
Grenoble, France (invited);
2014-05-22.
-
D. Pogany:
"Noise-based techniques for gas sensing";
Talk: 4th International Action Workshop on Innovations and Challenges for Air Quality Control Sensors (EuNetAir),
Wien (invited);
2016-02-25
- 2016-02-26.
-
D. Pogany:
"Physics and reliability of GaN-base HFETs";
Talk: Intel Mobile Telecommunications,
München, Deutschland (invited);
2013-01-31.
-
D. Pogany:
"Probing the current flow, thermal and free-carrier dynamics in ESD protection devices during ESD stress by TIM technique";
Keynote Lecture: Taiwan ESD and Reliability Conference,
Hsinchu City, Taiwan;
2014-11-03
- 2014-11-05.
-
D. Pogany:
"Use of TIM technique to probe free carrier and thermal dynamics in ESD protection devices";
Talk: NXP Hamburg,
Hamburg (invited);
2015-08-19.
-
D. Pogany, S. Bychikhin, K. Esmark, P. Rodin, D. Johnsson, M. Stecher, E. Gornik, H. Gossner:
"Modeling of on-state width spreading and voltage transients in 90nm CMOS SCR";
Talk: International electrostatic discharge workshop,
Lake Tahoe, CA;
2009-05-18
- 2009-05-21.
-
D. Pogany, S. Bychikhin, M. Heer, W. Mamanee, V. Dubec, E. Gornik, D. Johnsson, K. Domanski, K. Esmark, W. Stadler, H. Gossner, M. Stecher:
"Application of transient interferometric mapping (TIM) technique for analysis of ns time scale thermal and free carrier dynamics in ESD protection devices";
Poster: Optical localization techniques Workshop,
Toulouse;
2009-01-26
- 2009-01-27.
-
D. Pogany, S. Bychikhin, M. Heer, W. Mamanee, E. Gornik:
"Application of transient interferometric mapping method for ESD and latch-up analysis";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Bordeaux, France (invited);
2011-10-03
- 2011-10-07.
-
D. Pogany, S. Bychikhin, D. Johnsson, K. Esmark, P. Rodin, M. Stecher, E. Gornik, H. Gossner:
"Assessing "2D" holding point in ESD protection structures exhibiting 3D behavior using multi-level TLP analysis";
Talk: International electrostatic discharge workshop,
Lake Tahoe;
2009-05-18
- 2009-05-21.
-
D. Pogany, S. Bychikhin, C. Pflügl, V. Dubec, J. Kuzmik, M. Blaho, M. Litzenberger, G. Strasser, E. Gornik:
"Thermal mapping of semiconductor devices with nanosecond resolution";
Talk: European Microwave week 2003, GAAS conference,
München, Deutschland (invited);
2003-10-06
- 2003-10-10.
-
D. Pogany, K. Esmark, M. Litzenberger, C. Fürböck, H. Gossner, E. Gornik:
"Bulk and surface degradation mode in 0.35um technology gg-nMOS ESD protection devices";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Dresden, Germany;
2000-10-02
- 2000-10-06.
-
D. Pogany, C. Fleury, S. Sultan, P. Ashburn, H. Chong, L.K.J. Vandamme:
"Low frequency noise and breakdown analysis of top-down fabricated ZnO nanowire transistors";
Talk: European Materials Research Society (EMRS),
Warschau, Polen;
2013-09-16
- 2013-09-20.
-
D. Pogany, J. Kuzmik, S. Bychikhin:
"Thermal characterization of high frequency three and two terminal devices";
Talk: European Microwave Week (EUMW),
Manchester, UK (invited);
2006-09-10.
-
D. Pogany, J. Kuzmik, J. Darmo, M. Litzenberger, S. Bychikhin, K. Unterrainer, E. Gornik, Z. Mozolova, S. Hascik, T. Lalinsky:
"Electrical fied mapping in InGaPHEMTs and GaAs teraherz emitters using backside infrared OBIC technique";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Rimini, Italy;
2002-10-07
- 2002-10-11.
-
P. Pongratz, Y.J. Hyun, A. Ingstein, E. Bertagnolli:
"TEM analysis of extended defects in silicon whiskers and branched single crystalline GaAs/AlAs whiskers on Si nanowire trunks";
Talk: International Conference on Extended Defects in Semiconductors 2008,
Futuroscope/Poitiers, France;
2008-09-12
- 2008-09-19.
-
P. Pongratz, G. Koblmüller, H. Riechert, Y.J. Hyun, J. Speck, R. Averbeck:
"TEM analysis of dislocations in AIN and GaN heterostructures grown on saphhire and SiC gy plasma assisted MBE";
Talk: DIAMOND 2006 - 17th European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes, and Nitrides,
Estoril, Portugal;
2006-09-03
- 2006-09-08.
-
P. Pongratz, G. Otto, G. Hobler, L. Palmetshofer:
"Analysis of Experimental TEM Image Contrast of Amorphous Pockets using Molecular Dynamics Computer Simulations aof Collision Cascades in Silicon";
Talk: ICDS -24, 24th International Conference on Defects in Semiconductors,
New Mexico, USA;
2007-07-22
- 2007-07-27.
-
G. Pozzovivo, S. Golka, K. Cico, J. Kuzmik, W. Schrenk, G. Strasser, D. Pogany:
"Technology of InAlN/(In)GaN - based HEMT´s";
Poster: Monte Verita´summer School, Wide-banbgap semiconductor quantum structure,
Switzerland;
2006-08-27
- 2006-09-01.
-
G. Pozzovivo, S. Golka, W. Schrenk, G. Strasser, L. Nevou, N. Kheirodin, M. Tchernycheva, A. Lupu, F.H. Julien, F. Guillot, S. Leconte, E. Monroy, F. Giorgetta, E. Baumann, D. Hofstetter, S. Nicolay, E. Feltin, N. Grandjean, G. Cywinski, A. Feduniewicz-Zmuda, C. Skierbiszewski:
"Nitride-based Intersubband Devices: An Overview of the Fabrication and its impact on performance";
Talk: E-MRS Spring Meeting,
Strasbourg, France (invited);
2008-05-26
- 2008-05-30.
-
G. Pozzovivo, S. Golka, G. Strasser, L. Nevou, N. Kheirodin, M. Tchernycheva, A. Lupu, F.H. Julien, F. Guillot, E. Monroy:
"Electro-Optical Modulator Based on GaN/AlN coupled Quantum Wells: Fabrication and Characterization";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
2008-02-18
- 2008-02-22.
-
G. Pozzovivo, J. Kuzmik, S. Abermann, C. Ostermaier, J. Carlin, M. Gonschorek, E. Feltin, J. Liday, N. Grandjean, E. Bertagnolli, G. Strasser, D. Pogany:
"Recent Improvements on InAlN/GaN MOS-HEMTs";
Poster: GMe Forum 2008,
Wien;
2008-11-13
- 2008-11-14.
-
G. Pozzovivo, C. Ostermaier, J. Carlin, M. Gonschorek, N. Grandjean, G. Strasser, D. Pogany, J. Kuzmik:
"High-Electric-Field Degradation Effects in Unpassivated InAlN/GaN HEMTs";
Talk: International Symposium on Compound Semiconductors (ISCS),
Santa Barbara, California, USA;
2009-08-30
- 2009-09-02.
-
M. Pribyl, P. Taus, S. Dozio, S. Prado-Lopez, S. Knafl, M. Haslinger, S. Kopp, M. Mühlberger, A. Deyett, S. Mendjan, H. D. Wanzenböck:
"Fabrication and Replication of Dense High Aspect Ratio Nanostructures for Cell Chip Applications";
Poster: Micro and Nano Engineering Conference 2021,
Turin;
2021-09-20
- 2021-09-23.
-
L. Prochaska, A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"Developing heavy fermion film growth by molecular beam epitaxy";
Poster: C-MAC Euroschool 2015 Material synthesis and characterization applied to complex metallic alloys,
Bratislava;
2015-06-01
- 2015-06-05.
-
L. Prochaska, A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"Molecular beam epitaxy of heavy fermion compounds";
Poster: NGSCES 2014 - The New Generation in Strongly Correlated Electron Systems 2014,
Nizza, France;
2014-06-16
- 2014-06-20.
-
L. Prochaska, A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"The rocky road to heavy fermion films grown by molecular beam epitaxy";
Poster: DK Solids4Fun Summer School,
Hernstein;
2014-07-14
- 2014-07-18.
-
L. Prochaska, A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"Towards heavy fermion films grown by MBE";
Poster: QCM14 - Quantum Critical Matter - From Atoms To Bulk,
Obergurgl, Austria;
2014-08-18
- 2014-08-23.
-
L. Prochaska, Sami Dzsaber, Gaku Eguchi, W. Artner, A. M. Andrews, H. Detz, D. MacFarland, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"A new molecular beam epitaxy system for the growth of heavy fermion thin films";
Poster: NGSCES 2015: The New Generation in Strongly Correlated Electron Systems,
Trogir; Croatia;
2015-09-14
- 2015-09-18.
-
L. Prochaska, Xin. Li, D. MacFarland, A. M. Andrews, M. Bonta, E. Bianco, S. Yazdi, W. Schrenk, A. Limbeck, Q. Si, E. Ringe, G. Strasser, J. Kono, S. Paschen:
"Molecular beam epitaxy growth and physical properties of YbRh2Si2";
Poster: Solids4Fun Summer School (2018),
Ybbs;
2018-07-02
- 2018-07-06.
-
L. Prochaska, D. MacFarland, A. M. Andrews, M. Bonta, H. Detz, W. Schrenk, T. Zederbauer, A. Limbeck, G. Strasser, J. Bernardi, S. Paschen:
"Film growth of the heavy fermion compound YbRh2Si2 by molecular beam epitaxy";
Talk: German MBE Workshop 2016,
Garching, Deutschland;
2016-10-13
- 2016-10-14.
-
L. Prochaska, D. MacFarland, A. M. Andrews, M. Bonta, H. Detz, W. Schrenk, T. Zederbauer, A. Limbeck, G. Strasser, J. Bernardi, S. Paschen:
"First results on YbRh2Si2 film growth by molecular beam epitaxy";
Poster: Workshop on Frontiers of Quantum Materials, Rice University, Houston, USA,
Houston;
2016-11-04
- 2016-11-05.
-
L. Prochaska, D. MacFarland, A. M. Andrews, H. Detz, W. Schrenk, G. Strasser, T. Zederbauer, S. Paschen:
"Heavy fermion film growth by molecular beam epitaxy";
Poster: DK Solids4Fun Summer School 2016,
Ybbs;
2016-07-04
- 2016-07-08.
-
L. Prochaska, D. MacFarland, A. M. Andrews, H. Detz, W. Schrenk, T. Zederbauer, M. Bonta, E. Bianco, A. Limbeck, J. Bernardi, E. Ringe, G. Strasser, S. Paschen:
"Films of heavy fermion compound YbRh2Si2 grown by molecular beam epitaxy";
Talk: Summerschool solids4fun (2017),
Waidhofen an der Ybbs, Austria;
2017-07-03
- 2017-07-07.
-
L. Prochaska, D. MacFarland, A. M. Andrews, T. Zederbauer, M. Bonta, H. Detz, W. Schrenk, D. Geiger, Xin. Li, Hu. Guo, A. Limbeck, G. Strasser, J. Kono, E. Ringe, Q. Si, S. Paschen:
"DC and THz conductivity of MBE-grown YbRh2Si2 films";
Poster: Int. Conference on Strongly Correlated Electron systems, SCES2017,
Prague, CZ;
2017-07-17
- 2017-07-21.
-
D. Rakoczy, R. Heer, J. Smoliner, G. Ploner, G. Strasser, E. Gornik:
"A Metal-Insulator-Metal Injector for Ballistic Electron Emission Spectroscopy";
Poster: 11th Int. Winterschool on New Developments in Solid State Physics, Low-dimensional Systems: Fundamen,
Mauterndorf, Austria;
2000-02-21
- 2000-02-25.
-
D. Rakoczy, J. Smoliner, R. Heer, G. Strasser:
"Ballistic electron emission spectroscopy on biased GaAs-AlGaAs superlattices in transverse magnetic fields";
Poster: International Conference on Physics of Semiconductor (ICPS),
Osaka;
2000-09-18
- 2000-09-22.
-
D. Rakoczy, G. Strasser, C. Pacher, J. Smoliner:
"Ballistic Electron Microsocpy on Doped AlAs Barriers in the Regime of the Mott Transition";
Poster: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
2003-07-28
- 2003-08-01.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Ballistic electron emission microscopy for local measurement of band offsets on InAs self-assembled quantum dots on GaAs";
Talk: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Santa Fe, New Mexico, USA;
2001-08-27
- 2001-08-31.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Band offset of InAs Self-assembled Dots on GaAs";
Poster: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
2001-07-23
- 2001-07-27.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"BEEM/BEES investigations on AlAs/GaAs single barriers and RTDs";
Talk: 4th Int. Symposium on Nanostructures and Mesoscopic Systems 2003 (NANOMES 2003),
Tempe, Arizona, USA;
2003-02-17
- 2003-02-21.
-
D. Rakoczy, G. Strasser, J. Smoliner:
"Local probe studies of nanostructures - XBEEM on Heterostructures";
Talk: Infrared Optical Nanostructures workshop,
Bad Ischl, Österreich;
2005-11-03
- 2005-11-04.
-
D. Rakoczy, G. Strasser, C. Strahberger, J. Smoliner:
"BEEM/BEES in Hetero- and Nanostructures: Electron Refraction and Higher Valleys";
Poster: 26th Int. Conf. on the Physics of Semiconductors,
Edingburgh, Scotland;
2002-07-29
- 2002-08-02.
-
R. Ramaswamy, A. Muraviev, K. Wang, C. Deutsch, J. Choi, D. Eason, G. Strasser, M. Shur, A. Sergeev, V. Mitin:
"Tunable Quantum Cascade Lasers for THz mixers";
Poster: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
2011-03-13
- 2011-03-17.
-
R. Ramaswamy, K. Wang, M. Bell, A. Sergeev, A. Verevkin, G. Strasser, V. Mitin:
"Electron heating in disordered 2DEG GaAs/AlGaAs structures by THz radiation";
Poster: APS March Meeting,
Pittsburgh, USA;
2009-03-16
- 2009-03-20.
-
R. Ramaswamy, K. Wang, M. Bell, A. Sergeev, A. Verevkin, G. Strasser, V. Mitin:
"Hot-Electron 2DEG Micro-bolometer for a Terahertz Mixer";
Poster: International Workshop on Optical Terahertz Science and Technology (OTST 2009),
Fess Parkers Doubletree Resort, Santa Barbara, California, USA;
2009-03-07
- 2009-03-11.
-
L. Rebohle, F. F. Schrey, S. Hofer, G. Strasser, K. Unterrainer:
"Energy level engineering in InAs quantum dot stacks embedded in AlAs/GaAs superlattices";
Talk: Int. Conf. on Superlattices, Nanostructures and Nanodevices (ICSNN),
Toulouse, France;
2002-07-22
- 2002-07-26.
-
L. Rebohle, F. F. Schrey, S. Hofer, G. Strasser, K. Unterrainer:
"InAs/GaAs quantum dot stacks and their suitability as infrared photodetectors";
Talk: 26th Int. Conf. on the Physics of Semiconductors,
Edinburgh, Scottland;
2002-07-29
- 2002-08-02.
-
P. Reininger, R. Gansch, S. Kalchmair, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, G. Strasser:
"Detectivity Improvement of Quantum Well Infrared Photodetectors using a Photonic Crystal Slab as Resonant Cavity";
Poster: International Conference on Superlattices, Nanostructures, and Nanodevices (ICSNN2012),
Dresden;
2012-07-22
- 2012-07-27.
-
P. Reininger, S. Kalchmair, R. Gansch, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Enhancing the detectivity of photonic crystal photodetectors by quality factor tuning";
Talk: ÖPG-Jahrestagung,
Graz;
2012-09-18
- 2012-09-21.
-
P. Reininger, S. Kalchmair, R. Gansch, E. Mujagic, S. Ahn, A. M. Andrews, H. Detz, T. Zederbauer, W. Schrenk, G. Strasser:
"Improving the detectivity of quantum well infrared photodetectors with photonic crystal slabs";
Talk: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
2012-06-26
- 2012-06-27.
-
P. Reininger, B. Schwarz, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"Simulation of Dual Wavelength Photonic Crystal Quantum Cascade Laser";
Poster: International Conference on Physics of Semiconductor (ICPS),
Zürich, Schweiz;
2012-07-29
- 2012-08-03.
-
P. Reininger, B. Schwarz, A. Harrer, T. Zederbauer, H. Detz, A. M. Andrews, R. Gansch, W. Schrenk, G. Strasser:
"High-responsivity photovoltaic intersubband detectors";
Talk: Photonics West,
San Francisco, USA;
2015-02-07
- 2015-02-12.
-
P. Reininger, B. Schwarz, A. Harrer, T. Zederbauer, H. Detz, A. M. Andrews, R. Gansch, W. Schrenk, G. Strasser:
"Room temperature quantum cascade detector";
Talk: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Bari;
2014-09-07
- 2014-09-14.
-
P. Reininger, B. Schwarz, S. Kalchmair, R. Gansch, O. Baumgartner, Z. Stanojevic, H. Kosina, W. Schrenk, G. Strasser:
"Simulation of a dual wavelength quantum cascade laser in a photonic crystal cavity";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
2012-09-02
- 2012-09-06.
-
P. Reininger, B. Schwarz, A. Wirthmüller, A. Harrer, O. Baumgartner, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, L. Hvozdara, H. Kosina, G. Strasser:
"Towards higher temperature operation of quantum cascade detectors";
Talk: ITQW,
New York, USA;
2013-09-15
- 2013-09-20.
-
J. Rhayem, L. Van der Voorde, A. Wieers, M. Heer, D. Pogany:
"Wear out analysis in vertical DMOS under repetitive short circuit testing";
Poster: European Failure Analysis Network,
Toulouse, France;
2011-11-28
- 2011-11-29.
-
M. Rigato, C. Fleury, M. Heer, W. Simbürger, D. Pogany:
"ESD characterization of multi-finger RF nMOSFET transistors by TLP and transient interferometric mapping technique";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Toulouse;
2015-10-05
- 2015-10-09.
-
M. Rigato, C. Fleury, D. Pogany, W. Simbürger:
"Transient interferometric mapping technique (TIM): an effective tools to understand ESD and device breakdown";
Poster: Infineon University Evening 2015,
Neubiberg, Germany;
2015-11-12.
-
M. Rigato, C. Fleury, W. Simbürger, D. Pogany:
"ESD characterization of RF-NMOS transistors in 0.13 μm CMOS technology with transient interferometric mapping";
Poster: Infineon University Evening 2014,
München;
2014-11-06.
-
V Ritter, J Genser, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Silicene passivation by few layers graphene";
Poster: EuroMBE,
Lenggries;
2019-02-17
- 2019-02-20.
-
V Ritter, J Genser, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Silicene passivation by few layers graphene";
Talk: MRS Boston,
Boston;
2019-12-01
- 2019-12-06.
-
V Ritter, J Genser, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Silicene Passivation by Few‐Layer Graphene";
Talk: International Conference on Insulating Films on Semiconductors (INFOS),
Cambridge;
2019-06-30
- 2019-07-03.
More information
-
V Ritter, J Genser, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Silicene passivation by few-layer graphene";
Talk: Carbonhagen 2019 - 9th symposium on two-dimensional materials,
Kopenhagen;
2019-08-21
- 2019-08-22.
More information
-
V Ritter, J Genser, D Nazzari, O. Bethge, E. Bertagnolli, A. Lugstein:
"Silicene Passivation by Few-Layer Graphene";
Poster: DPG Tagung,
Regensburg;
2019-03-31
- 2019-04-05.
-
F. Rizzi, G. Scamarcio, G. Strasser:
"Three-terminal mid-IR tunable emitters based on Wannier-Stark ladder transitions in semiconductor superlattices";
Talk: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
2003-07-28
- 2003-08-01.
-
T. Roch, A. M. Andrews, G. Fasching, A. Benz, W. Schrenk, M. Schramböck, K. Unterrainer, G. Strasser:
"High resolution x-rax analysis of III-V optoelectronic nanostructures";
Poster: Autumn School X-ray scattering from surfaces and thin layers,
Smolenice, Slovakia;
2005-09-18
- 2005-09-21.
-
T. Roch, A. M. Andrews, W. Schrenk, M. Schramböck, G. Strasser:
"Growth of optical nanostructures";
Talk: ADLIS workshop,
Traunkirchen, Österreich;
2005-02-27
- 2005-03-01.
-
T. Roch, W. Schrenk, F. Schrey, K. Unterrainer, G. Strasser:
"X-ray investigation of quantum well intermixing after postgrowth rapid thermal processing";
Poster: 7th Biennial Conference on High Resolution X-Ray Diffraction and Imaging (XTOP),
Prag, Czech Republic;
2004-09-07
- 2004-09-10.
-
R. Röder, S. Geburt, A. Johannes, M. Glaser, A. Lugstein, C. Ronning:
"Tailoring CdS nanowire lasing resonators";
Poster: Frühjahrstagung der DPG,
Regensburg, Deutschland;
2013-03-10
- 2013-03-15.
-
P. Rödiger, H. D. Wanzenböck:
"Contamination avoidance - the key to focused electron beam induced etching";
Talk: ENHANCE Winter School,
Bochum, Deutschland;
2011-01-26.
-
P. Rödiger, H. D. Wanzenböck:
"Hydrocarbonaceous Contamination in SEMs: Evaluation and Removal";
Talk: TU Graz,
Graz (invited);
2011-05-20.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"Electron beam induced etching of silicon using chlorine gas";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Marco Island, Florida, USA;
2010-06-01
- 2010-06-04.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"Etching of Germanium by Chlorine Gas using a Focused Electron Beam";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
2011-05-31
- 2011-06-03.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"Etching of Silicon by Chlorine Gas using a Focused Electron Beam";
Talk: 3rd workshop on focused electron beam induced processing (FEBIP),
Albany, USA;
2010-07-15
- 2010-07-16.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"New Approach for Cleaning a SEM Chamber from Hydrocarbon Contamination";
Poster: 3rd workshop on focused electron beam induced processing (FEBIP),
Albany, USA;
2010-07-15
- 2010-07-16.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, A. Lugstein, E. Bertagnolli:
"Local, Direct-Write, Damage-Free Thinning of Germanium Nanowires";
Talk: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
2011-05-31
- 2011-06-01.
-
P. Rödiger, H. D. Wanzenböck, G. Hochleitner, S. Waid, E. Bertagnolli:
"Removal of FIB-Induced Amorphization and Gallium Contamination by Focused-Electron-Beam-Induced-Etching";
Talk: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas;
2011-05-31
- 2011-06-01.
-
S. Rossi, M. Alomari, E. Kohn, E. Zhang, J. Weaver, S. Bychikhin, D. Pogany, J. Carlin, N. Grandjean:
"Thermal Analysis of NCD Heat-Spreading Films on InAlN/GaN HEMTs";
Talk: New Diamond and Nano Carbons Conference (NDNC),
San Juan, Puerto Rico;
2012-05-20
- 2012-05-24.
-
S. Rotter, P. Ambichl, M. Liertzer, T. Hisch, J. Doppler, F. Libisch, D. Pogany:
"Taming waves in theory and experiment";
Talk: Physikalisches Kolloquium, Universität Graz / Technische Universität Graz,
Graz, Österreich (invited);
2013-06-19.
-
S. Rotter, P. Ambichl, M. Liertzer, T. Hisch, F. Libisch, D. Pogany, F. Mintert:
"Complex scattering and lasing photonic micro-structures";
Talk: Seminar talk, Brunel University,
London (invited);
2013-07-06.
-
S. Rotter, M. Liertzer, M. Brandstetter, T. Hisch, C. Deutsch, D. Pogany, F. Mintert, L. Ge, H.E. Tureci, A Cerjan, A.D. Stone, G. Strasser, K. Unterrainer:
"Pump-controlled exceptional points and random laser emission";
Talk: Advances in Quantum Chaotic Scattering: From (Non-)Linear Waves to Few-Body Systems,
Dresden (invited);
2013-09-09
- 2013-09-13.
-
S. Rotter, M. Liertzer, T. Hisch, M. Brandstetter, H.E. Tureci, C. Deutsch, P. Klang, D. Pogany, J. Schöberl, G. Strasser, K. Unterrainer:
"Controlling a Laser by Spatial Variation of the Pump Profile";
Talk: Ferdinand Braun Institute Colloquium,
Berlin (invited);
2013-10-18.
-
S. Rotter, M. Liertzer, T. Hisch, L. Ge, A Cerjan, A.D. Stone, H.E. Tureci, F. Mintert, D. Pogany:
"Pump-controlled exceptional points and random laser emission";
Talk: Seminarvortrag am Atominstitut,
Wien (invited);
2013-04-12.
-
S. Rotter, M. Liertzer, T. Hisch, L. Ge, A Cerjan, A.D. Stone, H.E. Tureci, D. Pogany, F. Mintert:
"Pump-controlled exceptional points and random laser emission";
Talk: Institutssseminar Institut Langevin,
Paris (invited);
2013-03-05.
-
S. Rotter, M. Liertzer, T. Hisch, D. Pogany, F. Mintert, M. Brandstetter, K. Unterrainer:
"Pump-Controlled Exceptional Points and Random Laser Emission";
Talk: Batsheva de Rothschild Seminar (Israel Science Foundation workshop),
Ein Gedi, Israel (invited);
2013-05-21
- 2013-05-26.
-
S. Rotter, M. Liertzer, T. Hisch, D. Pogany, F. Mintert, L. Ge, A.D. Stone, H.E. Tureci, A Cerjan:
"Controlling a laser by spatial variation of the pump profile";
Talk: GMe Workshop 2013,
Wien (invited);
2013-06-06
- 2013-06-07.
-
S. Rotter, M. Liertzer, T. Hisch, D. Pogany, F. Mintert, L. Ge, A.D. Stone, H.E. Tureci, A Cerjan:
"Controlling a laser by spatial variation of the pump profile";
Talk: Theorieseminar, Uni Duisburg-Essen,
Duisburg (invited);
2013-06-12.
-
S. Saghafi, K. Becker, C. Hahn, H. Dodt:
"Advances in Ultramicroscopy Imaging Technique";
Talk: International Multidisciplinary Microscopy Congress (InterM),
Antalya, Türkei;
2013-10-10
- 2013-10-13.
-
S. Saghafi, K. Becker, N. Jährling, H. Dodt:
"New light sheet generator, enhancing image quality in Ultramicroscopy";
Talk: Light Sheet based Flourescence Microscopy Workshop,
Dublin;
2010-09-02
- 2010-09-04.
-
S. Saghafi, N. Haghi-Danaloo, K. Becker, S. Foroughpour, H. Dodt:
"Reshaping Multimode Laser Beam into a Thin Light Sheet Using Conic-Aspheric Elements";
Talk: European Conferences on Biomedical Optics,
München, Deutschland (invited);
2017-06-25
- 2017-06-29.
-
S. Saghafi, N. Jährling, K. Becker, H. Dodt:
"Effects of Gaussian- and Flattened- Gaussian beam in scanning light sheet Ultramicroscopy employing Meso-optical elements";
Talk: EOS European Optical Society Annual Meeting,
Capri, Italien;
2011-09-26
- 2011-09-28.
-
M. Sassermann, L. Einkemmer, P. Mai, Z. Vörös, G. Weihs, T. Zederbauer, H. Detz, A. M. Andrews, G. Strasser, C. Schneider, A. Löffler, S. Höfling, A. Forchel, S. Protolan:
"Toward polarisation entangled photon pairs from microcavity exciton polaritons";
Poster: ÖPG-Jahrestagung,
Graz;
2012-09-18
- 2012-09-21.
-
S. Schartner, S. Golka, M. Austerer, A. M. Andrews, W. Schrenk, G. Strasser:
"Polarization Dependent Band Structure Mapping in Photonic Crystal QWIPs";
Poster: Computional Nanophotonics WS,
Bad Honnef, Deutschland;
2007-02-25
- 2007-02-28.
-
G. Schindler, W. Steinhögl, G. Steinlesberger, M. Traving, C.M. Engelhardt:
"Recent Advances for Nano Interconnects:Conductor Reliability and Resistivity";
Talk: AMC,
San Diego (invited);
2002-10-01
- 2002-10-03.
-
K. Schlueter, K. Nordlund, M. Balden, T. Silva, G. Hobler, R. Neu:
"Crystal-Orientation-Dependent Sputtering of Tungsten";
Poster: 24th International Conference on Ion Beam Analysis (IBA2019),
Antibes, Frankreich;
2019-10-13
- 2019-10-18.
-
S. Schmult, W. Wegscheider, J. Raabe, S. Gianordoli, G. Strasser, M. Bichler, G. Abstreiter:
"Eigenschaften von AlGaAs/GaAs-Quantenkaskadenlasern";
Talk: Deutsche Physikalische Gesellschaft (DPG), Frühjahrstagung des Arbeitskreises Festkörperphysik,
Regensburg, Germany;
2000-03-27
- 2000-03-31.
-
F. Schnorrer, G. Dietzl, N. Jährling, H. Dodt, B. Dickson:
"A Systematic genome-wide analysis of muscle morphogenesis and function in Drosophila";
Poster: Gordon Research Conferences on Myogenesis,
Il Ciocco, Italien;
2007-05-13
- 2007-05-18.
-
F. Schnorrer, C. Schönbauer, C. Langer, N. Jährling, H. Dodt, B. Dickson:
"A systematic genome-wide analysis of Drosophila muscle morphogenesis";
Poster: 20th International Congress of Genetics,
Berlin, Deutschland;
2008-07-12
- 2008-07-17.
-
F. Schnorrer, C. Schönbauer, C. Langer, N. Jährling, H. Dodt, B. Dickson:
"Muscle building in Drosophila";
Poster: 2nd Muenster graduate school meeting Molecular Cell Dynamics,
Münster, Deutschland;
2008-04-02
- 2008-04-04.
-
C. Schöndorfer, E. Auer, M. Steinmair, A. Lugstein, E. Bertagnolli:
"Focused Ion Beam induced Nanodot and Nanowire Growth";
Poster: International Conference on Micro- and Nano-Engineering,
Wien, Österreich;
2005-09-19
- 2005-09-22.
-
C. Schöndorfer, A. Lugstein, E. Bertagnolli:
"Nanostrukturierung mit Hilfe fokussierter Ionenstrahlen";
Talk: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien, Österreich;
2005-09-27
- 2005-09-29.
-
C. Schöndorfer, A. Lugstein, L. Bischoff, H.Y. Joo, P. Pongratz, E. Bertagnolli:
"A new metallic nanowire growth technique utilizing focused ion beams";
Poster: ICPS 2006 - 28th International Confeference on the Physics of Semiconductors,
Vienna;
2006-07-24
- 2006-07-28.
-
S. Schönhuber, M. Brandstetter, C. Deutsch, M. Krall, M. Liertzer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, S. Rotter, K. Unterrainer:
"Novel Photonic Concepts for THz QCLs: Coupled Microdisk Cavities and Random Lasers";
Poster: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Policoro;
2014-09-07
- 2014-09-14.
-
S. Schönhuber, C. Deutsch, M. Brandstetter, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz Intersubband Random Lasers";
Poster: German THz Conference 2015,
Dresden;
2015-06-08
- 2015-06-10.
-
S. Schönhuber, C. Deutsch, M. Brandstetter, M. Krall, H. Detz, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Laser II - Microdisk THz QCLs and Random Lasers";
Talk: 4th International Nanophotonics Meeting 2014,
Igls;
2014-10-23
- 2014-10-25.
-
M. Schramböck, A. M. Andrews, P. Klang, M. Nobile, W. Schrenk, G. Strasser:
"Self-assembled InAs QDs for intersubband devices";
Poster: One Day Quantum dot Meeting,
The Blackett Laboratory, Imperial college, London, UK;
2008-01-11.
-
M. Schramböck, A. M. Andrews, M. Nobile, P. Klang, W. Schrenk, G. Strasser:
"InAs/AlGaAs QDs for intersubband devices";
Poster: E-MRS Spring Meeting,
Strasbourg, Frankreich;
2007-05-28
- 2007-06-01.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, M. Austerer, C. Schöndorfer, G. Strasser, M. Kast:
"Alignment and growth of III-V semiconductor nano-structures";
Talk: Infrared Optical Nanostructures workshop,
Wien;
2006-05-12.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"III-V semiconductor nano-structures";
Talk: Vienna International Conference Micro- and Nanotechnology,
Wien;
2007-03-14
- 2007-03-16.
-
M. Schramböck, A. M. Andrews, T. Roch, W. Schrenk, G. Strasser:
"In-based Quantum Dots on AlxGa1-xAs Surfaces";
Poster: One Day Quantum dot Meeting,
Nottingham, UK;
2007-01-19.
-
M. Schramböck, P. Klang, A. M. Andrews, W. Schrenk, A. Lugstein, G. Strasser:
"Nanopatterning for the growth of self-assembled InAs QDs";
Poster: 15th International Winterschool on New Developments in Solid State Physics,
Bad Hofgastein, Austria;
2008-02-18
- 2008-02-22.
-
M. Schramböck, W. Schrenk, T. Roch, A. M. Andrews, M. Austerer, A. Lugstein, G. Strasser:
"Growth and processing of self-assembled nano structures";
Talk: Infrared Optical Nanostructures workshop,
Bad Ischl, Österreich;
2005-11-03
- 2005-11-04.
-
W. Schrenk:
"DFB Quantenkaskadenlaser";
Talk: Institut für Angewandte und Experimentelle Physik,
Regensburg, Germany;
2000-10-23.
-
W. Schrenk:
"GaAs-based quantum cascade lasers";
Talk: Workshop Light Emitters Based on Intersubband Transitions,
Berlin, Germany (invited);
2002-02-14.
-
W. Schrenk, S. Anders, C. Pflügl, E. Gornik, C. Becker, C. Sirtori, G. Strasser:
"Room Temperature Operation Of GaAs/AlGaAs Quantum Cascade Lasers";
Talk: 29th Int. Symp. on Comp. Semicond. (ISCS 2002),
Lausanne, Switzerland;
2002-10-07
- 2002-10-10.
-
W. Schrenk, S. Anders, C. Pflügl, E. Gornik, G. Strasser:
"Quantum cascade structures and unipolar dot emitters";
Talk: 11th International Conference on Modulated Semiconductor Structures (MSS11),
Nara, Japan;
2003-07-14
- 2003-07-18.
-
W. Schrenk, S. Anders, T. Roch, C. Pflügl, G. Strasser:
"Wavelength adjustment of quantum cascade lasers by rapid thermal annealing";
Poster: ITQW,
Evolène, Switzerland;
2003-09-01
- 2003-09-05.
-
W. Schrenk, S. Gianordoli, N. Finger, E. Gornik, G. Strasser:
"Continuous-wave operation of distributed feedback quantum cascade lasers";
Talk: CLEO '01,
Baltimore, USA;
2001-05-06
- 2001-05-08.
-
W. Schrenk, S. Gianordoli, L. Hvozdara, N. Finger, G. Strasser:
"Single mode AlGaAs based quantum cascade lasers";
Poster: ITQW,
Asilomar, USA;
2001-09-10
- 2001-09-14.
-
W. Schrenk, E. Gornik, H. Page, C. Sirtori, V Ortiz, G. Strasser:
"High performance single mode GaAs quantum cascade lasers";
Talk: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
2001-07-23
- 2001-07-27.
-
W. Schrenk, E. Gornik, G. Strasser:
"Room temperature DFB GaAs quantum cascade lasers";
Talk: Photonics West,
San Jose, USA;
2002-01-19
- 2002-01-25.
-
W. Schrenk, B. Hinkov, H.T. Hoang, G. Strasser:
"Etching of ZnO/ZnMgO quantum structures";
Talk: Workshop on Dry Processing for Nanoelectronics & Micromechanics Deposition & Etching,
Wien;
2019-09-17
- 2019-09-18.
-
W. Schrenk, C. Pflügl, S. Anders, C. Becker, C. Sirtori, G. Strasser:
"High temperature performance of GaAs/AlGaAs quantum cascade lasers";
Talk: ITQW,
Evolène, Switzerland;
2003-09-01
- 2003-09-05.
-
W. Schrenk, C. Pflügl, M. Austerer, S. Golka, S. Schartner, M. Schramböck, T. Roch, A. M. Andrews, G. Strasser:
"InAs self organised quantum dots on GaAs";
Talk: NMR EU Projekt FW6 ANSWER workshop,
Freiburg, Deutschland;
2005-03-07.
-
W. Schrenk, R. Szedlak, D. Ristanic, B. Schwarz, P. Reininger, A. Harrer, H. Detz, D. MacFarland, A. M. Andrews, G. Strasser:
"Integrated Ring Laser Systems for Spectroscopy based on Quantum Cascade Structures";
Talk: SCIX - The great Scientific Exchange,
Providence (RI), United States;
2015-09-27
- 2015-10-02.
-
F. F. Schrey, G. Fasching, T. Müller, K. Unterrainer, G. Strasser:
"THz/MIR Manipulation of Excitonic levels in InAs Quantum Dots";
Talk: 7th International Conference on Optics of Excitons in Confined Systems (OECS8),
Lecce, Italien;
2003-09-15
- 2003-09-17.
-
F. F. Schrey, T. Müller, S. Anders, L. Rebohle, W. Schrenk, K. Unterrainer, G. Strasser:
"Dynamics between electronic lavels in InAs Quantum dots";
Talk: 7th Int. Workshop on Nonlinear Optics and Excitation Kinetics in Semicond. (NOEKS 7),
Karlsruhe, Deutschland;
2003-02-24
- 2003-02-28.
-
F. F. Schrey, T. Müller, S. Anders, L. Rebohle, W. Schrenk, K. Unterrainer, G. Strasser:
"Dynamics between electronic levels in InAs quantum dots";
Poster: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Nara, Japan;
2003-07-14
- 2003-07-18.
-
F. Schrey, G. Fasching, T. Müller, G. Strasser, K. Unterrainer:
"Ultrafast intersublevel spectroscopy of a single quantum dot";
Poster: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
2004-07-26
- 2004-07-30.
-
F. Schrey, T. Müller, G. Fasching, G. Strasser, K. Unterrainer:
"Quantum dot spectroscopy";
Talk: ADLIS workshop,
Traunstein, Austria;
2005-02-28
- 2005-03-01.
-
F. Schrey, T. Müller, G. Strasser, K. Unterrainer:
"THz Manipulation of Excitonic Levels in Single InAs Quantum Dots";
Talk: Materials Research Society Fall Meeting (MRS),
Boston, USA;
2003-12-01
- 2003-12-05.
-
F.F. Schrey, T. Müller, A.M. Andrews, T. Roch, G. Strasser, K. Unterrainer:
"Ultrafast spectroscopy of QD structures for mid-infrared and THz applications";
Talk: SANDIE Optics Group Meeting,
Technical University Berlin, Berlin , Germany;
2007-01-11
- 2007-01-12.
-
B. Schwarz:
"Frequency Comb Generation with Inter-band Cascade Lasers";
Talk: ISLC2021 27th Intern. Semiconductor Laser Conference,
Potsdam (invited);
2021-10-10
- 2021-10-14.
More information
-
B. Schwarz:
"Frequency combs in QCLs and ICLs";
Keynote Lecture: Compound Semiconductor Week (CSW),
Stockholm (invited);
2021-05-09
- 2021-05-13.
-
B. Schwarz:
"Frequency modulated combs using semiconductor lasers";
Talk: Online Conference - URSI GASS 2020,
Rom (invited);
2020-08-29
- 2020-09-05.
-
B. Schwarz:
"Integrated mid-infrared frequency comb sources";
Talk: 2021 Workshop on Novel Photonics Technologies,
Bordeaux (invited);
2021-09-10
- 2021-09-11.
More information
-
B. Schwarz:
"Interband and quantum cascade laser frequency combs: from fundamentals towards monolithic spectrometers";
Talk: Vortrag am CEITEC,
Brno;
2019-05-28.
-
B. Schwarz:
"Mid-infrared semiconductor laser frequency combs";
Talk: Nanoforum 2021,
Linz (invited);
2021-06-11.
-
B. Schwarz:
"Quantum cascade laser/detector and plasmonic for mid-infrared integrated photonics";
Talk: Experimental Condensed Matter Physics Seminar an der RWTH Aachen,
Aachen (invited);
2016-03-15.
-
B. Schwarz:
"Self mode-locking and FM combs: An example of Huygens « Odd kind of sympathy";
Talk: IS-PALD 2019,
Metz (invited);
2019-11-20
- 2019-11-22.
-
B. Schwarz, N Opacak, J. Hillbrand, M Beiser, G. Strasser, R. Weih, A. Schade, S. Höfling, D. Auth, S. Breuer, M. Piccardo, F. Capasso:
"Freuquency modulated combs in semiconductor lasers";
Talk: IPC Annual Conference of the IEEE Photonics Society,
San Antonio (invited);
2019-09-29
- 2019-10-03.
-
B. Schwarz, P. Reininger, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"A mid-infrared dual wavelenght quantum cascade structure designed for both emission and detection";
Poster: International Conference on Physics of Semiconductor (ICPS),
Zürich, Schweiz;
2012-07-29
- 2012-08-03.
-
B. Schwarz, P. Reininger, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"Dual wavelength quantum cascade structure that can act both as laser and detector";
Talk: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
2012-06-26
- 2012-06-27.
-
B. Schwarz, P. Reininger, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"Dual-color quantum cascade structure for coherent emission and detection";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
2012-09-02
- 2012-09-06.
-
B. Schwarz, P. Reininger, O. Baumgartner, Z. Stanojevic, H. Kosina, G. Strasser:
"Optimization of intersubband devices for dual-color emission, absorption and detection";
Talk: ÖPG-Jahrestagung,
Graz;
2012-09-18
- 2012-09-21.
-
B. Schwarz, P. Reininger, O. Baumgartner, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, H. Kosina, G. Strasser:
"Towards Mid-Infrared On-Chip Sensing utilizing a bi-functional Quantum Cascade Laser/Detector";
Talk: Conference on Electronic Properties of Two-Dimensional Systems / Modulated Semiconductor Structures (EP2Ds-MSS),
Wroclaw, Polen;
2013-07-01
- 2013-07-05.
-
B. Schwarz, P. Reininger, H. Detz, T. Zederbauer, A. M. Andrews, W. Schrenk, O. Baumgartner, H. Kosina, G. Strasser:
"Same-Frequency Detector and Laser Utilizing Bi-Functional Quantum Cascade Active Regions";
Talk: SPIE Photonics West,
San Francisco, CA, USA;
2013-02-02
- 2013-02-07.
-
B. Schwarz, P. Reininger, D. Ristanic, A. M. Andrews, W. Schrenk, G. Strasser:
"Plasmonics and quantum cascade structures for on-chip-sensing";
Talk: Nanoforum 2014,
Linz;
2014-06-01
- 2014-06-24.
-
B. Schwarz, P. Reininger, D. Ristanic, O. Baumgartner, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, H. Kosina, G. Strasser:
"On-Chip mid-infrared light generation and detection";
Talk: ITQW,
New York, USA (invited);
2013-09-15
- 2013-09-20.
-
B. Schwarz, P. Reininger, W. Schrenk, H. Detz, O. Baumgartner, T. Zederbauer, A. M. Andrews, H. Kosina, G. Strasser:
"Monolithically integrated quantum cascade laser and detector";
Talk: CLEO Europe 2013,
München, Deutschland;
2013-05-12
- 2013-05-16.
-
B. Schwarz, D. Ristanic, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"A mid-infrared on-chip sensor based on bi-functional quantum cascade structures & plasmonics";
Talk: Photonics West,
San Francisco, USA;
2015-02-07
- 2015-02-12.
-
B. Schwarz, D. Ristanic, P. Reininger, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Plasmonic waveguide based mid-infrared lab-on-a-chip";
Talk: META´16 - The 7th International Conference on Metamaterials, Photonic Crystals and Plasmonics,
Malaga;
2016-07-25
- 2016-07-28.
-
B. Schwarz, D. Ristanic, P. Reininger, H. Detz, T. Zederbauer, D. MacFarland, A. M. Andrews, W. Schrenk, G. Strasser:
"Mid-infrared spectroscopy on a single chip";
Poster: MESS16 - Microelectronic Systems Symposium,
Wien;
2016-04-28
- 2016-04-29.
-
B. Schwarz, D. Ristanic, P. Reininger, A. Harrer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Monolithically integrated chemical sensor based on intersubband transitions and plasmonics";
Talk: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Bari;
2014-09-07
- 2014-09-14.
-
B. Schwarz, D. Ristanic, P. Reininger, W. Schrenk, H. Detz, T. Zederbauer, A. M. Andrews, D. MacFarland, G. Strasser:
"QCL based liquid sensing 2.0: A single chip solution";
Talk: SCIX 2016,
Minneapolis (invited);
2016-09-18
- 2016-09-23.
-
C. Schwarzer:
"Coupling Strategies for Coherent Operation of Ring Cavity Surface Emitting Intersubband Lasers";
Poster: GMe Forum 2011,
Vienna, Austria;
2011-04-14
- 2011-04-15.
-
C. Schwarzer:
"Grating Controlled Enhancement of Substrate Emission from Ring Cavity Quantum Cascade Lasers";
Talk: International Conference on Physics of Semiconductor (ICPS),
Zürich, Schweiz;
2012-07-29
- 2012-08-03.
-
C. Schwarzer, E. Mujagic, H. Detz, A. M. Andrews, P. Klang, W. Schrenk, G. Strasser:
"Coupled ring cavity quantum cascade lasers";
Talk: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
2011-01-19
- 2011-01-20.
-
C. Schwarzer, E. Mujagic, M. Nobile, H. Detz, S. Ahn, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Strategies for Coherent Coupling of Ring Cavity Surface Emitting Intersubband Lasers";
Poster: International Quantum Cascade Lasers School and Workshop 2010,
Florence, Italien;
2010-08-30
- 2010-09-03.
-
C. Schwarzer, E. Mujagic, W. Schrenk, Y. Yao, C. Gmachl, G. Strasser:
"Broadband single-mode emission from two-dimensional ring cavity surface emitting quantum cascade laser arrays";
Talk: ÖPG-Jahrestagung,
Lausanne, Schweiz;
2011-06-15
- 2011-06-17.
-
C. Schwarzer, E. Mujagic, T. Zederbauer, H. Detz, W. Schrenk, Y. Yao, C. Gmachl, G. Strasser:
"Ring cavity surface emitting quantum cascade lasers and their two-dimensional integration";
Talk: EP2DS19/MSS15 2011,
Tallahassee, USA;
2011-07-25
- 2011-07-29.
-
C. Schwarzer, R. Szedlak, S. Ahn, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"DFB Grating Phase-Shifts for Quantum Cascade Ring Lasers";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2014-02-23
- 2014-02-28.
-
C. Schwarzer, R. Szedlak, S. Ahn, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Linearly Polarized Emission Beams from Vertically Emitting Quantum Cascade Ring Lasers";
Talk: ITQW,
New York, USA;
2013-09-15
- 2013-09-20.
-
C. Schwarzer, Y. Yao, E. Mujagic, S. Ahn, W. Schrenk, J. Chen, C. Gmachl, G. Strasser:
"Fundamental coupling strategies for coherent operation of quantum cascade ring laser arrays";
Poster: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
2010-07-25
- 2010-07-30.
-
M. Shawrav, D. Belic, M. Gavagnin, O. Bethge, H. D. Wanzenböck, E. Bertagnolli:
"2D and 3D Noble Metal Nanostructures - FEBID Processing and Applications. E-MRS Spring Meeting";
Talk: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
2013-05-27
- 2013-05-31.
-
M. Shawrav, D. Belic, M. Gavagnin, O. Bethge, H. D. Wanzenböck, E. Bertagnolli:
"Electronic Applications of Focused Electron Beam Induced Deposited Noble Metals";
Poster: ENHANCE Winter School,
Eindhoven, Niederlande;
2013-01-14
- 2013-01-17.
-
M. Shawrav, M.-H. Chien, H. D. Wanzenböck, E. Bertagnolli, S. Schmid:
"Photo thermal analysis of FEBID gold nanostructures";
Poster: ASEM Workshop "Advanced Electron Microscopy",
Wien;
2018-04-26
- 2018-04-27.
-
M. Shawrav, J. Mika, H. D. Wanzenböck, P. Taus, Z. Gökdeniz, E. Bertagnolli:
"Combining in-situ etching and deposition-FEBIE modified germanium nanowire devices with electrical contacts by FEBID gold";
Poster: Biennial European Conference on Chemical Vapor Deposition,
Sempach, Schweiz;
2015-07-13
- 2015-07-17.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, E. Bertagnolli:
"Electron Beam Induced Curing of FEBID gold nanostructures";
Poster: International Conference Micro- and Nanoelectronics (ICMNE),
London, UK;
2013-09-16
- 2013-09-19.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, O. Bethge, E. Bertagnolli:
"Electron beam deposited noble metals for nanoelectronics applications";
Poster: International Conference Micro- and Nanoelectronics (ICMNE),
London, UK;
2013-09-16
- 2013-09-19.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, S. Wachter, E. Bertagnolli:
"Focused Electron Beam Induced Deposition of Noble metals";
Talk: ASEM Workshop "Advanced Electron Microscopy",
Graz;
2015-05-07
- 2015-05-08.
-
M. Shawrav, H. D. Wanzenböck, D. Belic, M. Gavagnin, S. Wachter, M. Schinnerl, E. Bertagnolli:
"Focused Electron Beam Induced Deposited multi-material nanoalloys";
Poster: CELINA,
Erlangen;
2015-05-06
- 2015-05-09.
-
J. Silvano de Sousa, H. Detz, A. M. Andrews, G. Strasser, J. Smoliner:
"Electrical tuning and cancellation of the conduction band spin splitting in GaAs quantum Wells";
Talk: International Conference on Superlattices, Nanostructures and Nanodevices,
Dresden, Deutschland;
2012-07-22
- 2012-07-27.
-
J. Silvano de Sousa, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser, J. Smoliner:
"Large Rashba Effect in InGaAs/GaAsSb RTDs at high Temperatures";
Talk: International Symposium on Physics of Semiconductors and Applications,
JeJu, Korea;
2011-07-05
- 2011-07-08.
-
J. Silvano de Sousa, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser, J. Smoliner:
"Large Spin and Non-parabolicity Effects In InGaAs/GaAsSb Resonant Tunneling Diodes";
Talk: MIRTHE-IROn-SensorCAT virtual conference,
Princenton;
2011-01-19
- 2011-01-20.
-
J. Silvano de Sousa, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser, J. Smoliner:
"Large Spin and Non-parabolicity Effects in InGaAs/GaAsSb Resonant Tunneling Diodes";
Talk: EDISON 17,
Santa Barbara, USA;
2011-08-07
- 2011-08-12.
-
J. Silvano de Sousa, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser, J. Smoliner:
"Large Spin and Non-parabolicity Effects in InGaAs/GaAsSb Resonant Tunneling Diodes";
Talk: International Conference on Narrow Gap Semiconductor,
Blacksburg, USA;
2011-08-01
- 2011-08-05.
-
J. Silvano de Sousa, H. Detz, P. Klang, M. Nobile, A. M. Andrews, W. Schrenk, E. Gornik, G. Strasser, J. Smoliner:
"Large Spin and Non-parabolicity Effects n InGaAs/GaAsSb Resonant Tunneling Diodes";
Poster: EP2DS19/MSS15 2011,
Tallahassee, USA;
2011-07-25
- 2011-07-29.
-
J. Silvano de Sousa, H. Detz, G. Strasser, E. Gornik, J. Smoliner:
"Rashba effect in type-II resonant tunneling diodes enhanced by in-plane magnetic fields";
Poster: 17th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2012-02-12
- 2012-02-17.
-
W. Simbürger, M. Rigato, C. Fleury, D. Pogany, J. Willemen, V. Vendt, T. Schwingshackl, A. D´Arbonneau:
"ESD Protection Devices and Technologies: Recent Advances and Trends";
Talk: International Electrostatic Discharge workshop (IEW),
Tutzing, Deutschland;
2016-05-17.
-
M. Sistani, M. Bartmann, H. Keshmiri, A. Lugstein:
"Stimulated Raman Scattering in Ge Nanowires";
Talk: Nanowire Week,
Pisa;
2019-09-23
- 2019-09-27.
-
M. Sistani, M. Bartmann, H. Keshmiri, M. Seifner, S. Barth, M. Luong, M. den Hertog, J. Smoliner, E. Bertagnolli, A. Lugstein:
"Monolithic metal-semiconductor nanowire heterostructures for electrical and optical applications";
Talk: 10th International Conference on Materials for Advanced Technologies (ICMAT 2019),
Singapore;
2019-06-23
- 2019-06-28.
-
M. Sistani, J. Delaforce, R. Kramer, N. Roch, M. Luong, M. Hertog, E. Robin, J. Smoliner, J. Yao, C. Lieber, C. Naud, A. Lugstein, O. Buisson:
"Transport in ultra-scaled Ge quantum dots";
Talk: MRS Boston,
Boston;
2019-12-01
- 2019-12-06.
-
M. Sistani, J. Delaforce, C. Naud, R. Kramer, M. Luong, M. Hertog, W. Weber, O. Buisson, A. Lugstein:
"A Ge quantum dot monolithically embedded in a metal-semiconductor heterostructure: from single-hole transport to proximity induced superconductivity";
Talk: Online Conference - JMC17,
Grenoble (invited);
2021-07-24
- 2021-07-27.
-
M. Sistani, P. Staudinger, J.M. Greil, M. Holzbauer, H. Detz, E. Bertagnolli:
"Room Temperature Quantum Ballistic Transport in Monolithic Ultrascaled Al-Ge-Al Nanowire Heterostructures";
Talk: Nanowire Week 2017,
Lund;
2017-05-29
- 2017-06-02.
-
M. Sistani, P. Staudinger, J.M. Greil, M. Holzbauer, H. Detz, E. Bertagnolli, A. Lugstein:
"Room Temperature Quantum Ballistic Transport in Monolithic Al-Ge-Al Nanowire Heterostructures";
Talk: Collaborative Conference on Materials Research,
Jeju island, South Korea;
2017-06-26
- 2017-06-30.
-
J. Smoliner:
"Ballistic Electron Emission Microscopy/Spectroscopy on GaAs-AlGaAs Heterostructures";
Talk: ETH Zürich,
Zürich;
2000-05-16.
-
J. Smoliner:
"Charakterisierung von Halbleitern mittels Rastersonden Mikroskopie";
Poster: Chemietage Linz,
Linz, Austira;
2002-09-17.
-
J. Smoliner:
"Conductive AFM Methods for Semiconductor Characterization";
Talk: Tagung der Festkörperanalytik,
Chemnitz, Deutschland (invited);
2013-07-01
- 2013-07-03.
-
J. Smoliner:
"Cross Sectional Ballistic Electron Emission Microscopy for Schottky Barrier Height Profiling on Heterostructures";
Talk: International Conference on Scanning Tunneling Microscopy/Spectroscopy and Related Techniques (STM),
Sapporo, Japan (invited);
2005-07-03
- 2005-07-08.
-
J. Smoliner:
"High Energy Ballistic Transport in Hetero- and Nanostructures";
Talk: 12th International Winterschool on New Developments in Solid State Physics,
Mauterndorf (invited);
2002-02-24
- 2002-02-28.
-
J. Smoliner:
"Quantitative Scanning Capacitance Spectroscopy";
Talk: Infineon Workshop on Scanning Probe Microscopy and Related Techniques,
Villach, Österreich;
2005-11-10.
-
J. Smoliner:
"Rasterkapazitätsmikroskopie and Halbleiterbauelementen";
Talk: GMe Forum 2001,
Wien;
2001-04-06.
-
J. Smoliner:
"Rasterkapazitätsmikroskopie auf Halbleiterstrukturen";
Talk: Walter Schottky Institut TU-München,
München, Germany;
2001-05-08.
-
J. Smoliner:
"Rasterkapazitätsmikroskopie auf Halbleiterstrukturen";
Talk: Joanneum Research,
Weiz;
2001-05-11.
-
J. Smoliner:
"Rastersondenmethoden zur electronischen Charakterisierung von Halbleiter Nanostrukturen";
Talk: Fakultätskolloquium Elektronik,
TU-München;
2001-12-19.
-
J. Smoliner:
"Recent Developments in Characterization of Electrical Properties by Means of SPM";
Talk: 2012 European Conference on Electrical Measurements at the Nanoscale Using AFM,
Linz (invited);
2012-07-04.
-
J. Smoliner:
"Scanning Capacitance Microscopy: Historic and Latest Developements";
Talk: International Workshop of Nanomeasure,
Warschau, Polen (invited);
2013-06-24
- 2013-06-25.
-
J. Smoliner, W. Brezna, A. M. Andrews, G. Strasser:
"Quantitative Scanning Capacitance Microscopy on Buried InAs Quantum Dots";
Poster: GMe Forum 2008,
Wien;
2008-11-13
- 2008-11-14.
-
J. Smoliner, R. Heer, G. Strasser, C. Strahberger:
"BEEM/S on GaAs-AlGaAs resonant tunneling structures and quantum wires";
Talk: SXM4 4th International Conference on the Development and Technological Application of Scanning Probe,
Münster;
2000-09-06
- 2000-09-08.
-
J. Smoliner, R. Heer, G. Strasser, C. Strahberger:
"Effective mass effects and k//-filtering in BEEM an GaAs-AlGaAs resonant tunneling structures";
Poster: SPS 2000,
Hamburg;
2000-07-12
- 2000-07-15.
-
J. Smoliner, D. Rakoczy, R. Heer, H. Brückl:
"Ballistic Electron Transport in Spin Valve Transistors and Cross Sectional Geometries";
Talk: International Conference on New Phenomena in Mesoscopic Structures,
Hawaii;
2005-11-28
- 2005-12-02.
-
V. Spagnolo, G. Scamarcio, W. Schrenk, G. Strasser:
"Influence ot the bandoffset on the electronic temperature of GaAs/Al(Ga)As superlattice quantum cascade lasers";
Poster: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
2003-07-28
- 2003-08-01.
-
W. Stadler, K. Esmark, H. Gossner, M. Streibl, M. Wendel, W. Fichtner, M. Litzenberger, D. Pogany, E. Gornik:
"Device Simulation and Backside Laser Interferometry - Powerful Tools for ESD Protection Development";
Talk: European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF),
Rimini, Italy;
2002-10-07
- 2002-10-11.
-
D. Stehr, M. Wagner, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser:
"Intraminiband Relaxation in doped GaAs/AlGaAs Superlattices studied by Two-Color Infrared Pump-Probe Experiments";
Poster: 29th International Conference on the Physics of Semiconductors (ICPS 2008),
Rio de Janeiro, Brazil;
2008-07-27
- 2008-08-01.
-
D. Stehr, M. Wagner, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser:
"Two-color pump-probe studies of intraminiband relaxation in doped GaAs/AlGaAs superlattices";
Talk: Photonics West,
San Jose, USA (invited);
2009-01-24
- 2009-01-29.
-
A. Steiger-Thirsfeld, B. Basnar, C. Tomastik, A. Lugstein:
"Carbonaceous contamination on low dose ion irradiated surfaces";
Talk: 6st "D-A-C-H" Workshop on FIB & FIB/SEM,
ETH Hönggerberg, Zürich; Schweiz;
2011-06-27
- 2011-06-29.
-
S. Steinhauer, D. Pogany, C. Seidl, G. Mutinati, T. Maier, A. Köck:
"Low-frequency noise characterization of single CuO nanowire gas sensors";
Talk: 1st International Conference on Functional Integrated nano Systems,
Graz;
2014-12-03
- 2014-12-05.
-
W. Steinhögl, G. Schindler, G. Steinlesberger, M. Traving, C.M. Engelhardt:
"Surfce and Grain Boundary Scattering: A Modelling Study of Effects Domainating the Electrical Resistivity in sub-50nm Copper Lines";
Talk: AMC,
San Diego;
2002-10-01
- 2002-10-03.
-
G. Steinlesberger, C.M. Engelhardt, G. Schindler, W. Steinhögl, A. von Grasow, K. Mosig, E. Bertagnolli:
"Electrical Assessment of Copper Damascene Interconnects Down to sub 50 nm Feature Sizes";
Talk: MAM 2002,
Vaals, The Netherlands;
2002-03-04
- 2002-03-06.
-
G. Steinlesberger, W. Steinhögl, G. Schindler, C.M. Engelhardt, M. Traving, E. Bertagnolli:
"Microsturcture Study of Cu Damascene Nano-Interconnects";
Poster: AMC,
San Diego;
2002-10-01
- 2002-10-03.
-
A. Stier, C. Ellis, H. Zhang, D. Eason, G. Strasser:
"THz Quantum Hall conductivity studies in a GaAs heterojunction";
Talk: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
2010-07-25
- 2010-07-30.
-
A. Stier, C. Ellis, H. Zhang, D. Eason, G. Strasser, B. McCombe, J. Cerne:
"Measurement of the THz optical Hall conductivity in a two dimensional electron gas";
Poster: EP2DS19/MSS15 2011,
Tallahassee, USA;
2011-07-25
- 2011-07-29.
-
G. Strasser:
""The masters of light" - Die Gründerväter der optischen Technologien";
Talk: Montanuniversität Leoben,
Leoben (invited);
2009-12-16.
-
G. Strasser:
""The masters of light" - Die Gründerväter der optischen Technologien";
Talk: Chemisch-physikalische Gesellschaft,
Wien (invited);
2009-11-03.
-
G. Strasser:
"Applied Quantum Mechanics: Sensing with Monolithically Integrated Quantum Cascade Devices";
Talk: MME 2019 - 30th Micromechanics and Microsystems Europe workshop,
Oxford (invited);
2019-08-18
- 2019-08-20.
-
G. Strasser:
"Beam shaping and coherence in MID-IR lasers";
Talk: Physikalisches Kolloquium,
Linz (invited);
2010-01-07.
-
G. Strasser:
"Design, growth and processing of GaAs-based Quantum Cascade Lasers";
Talk: Int. Conf. on Solid State Crystals & 7th Polish Conf. on Crystal Growth,
Zakopane, Poland (invited);
2004-05-16
- 2004-05-20.
-
G. Strasser:
"GaAs-based quantum cascade DFB lasers and Mircocavities";
Talk: Workshop Quantum Cascade Lasers Technology & Application,
Freiburg, Germany (invited);
2002-09-19.
-
G. Strasser:
"GaAs-based superlattice quantum cascade lasers";
Talk: Workshop on Quantum Heterostructures and THz Electronics,
Regensburg, Germany (invited);
2000-01-27.
-
G. Strasser:
"Growth and Processing of III-V Nanostructures";
Talk: Symposium Recent Advances in Semiconductor Nanostructures,
Paris, Frankreich (invited);
2015-04-03.
-
G. Strasser:
"Growth and Processing of QCLs: from Material Aspects to Integrated Optics";
Talk: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Bari (invited);
2014-09-07
- 2014-09-14.
-
G. Strasser:
"High Power Single Mode Surface Emission of Quantum Cascade Lasers";
Talk: Semiconductor Physics Institute,
Vilnius, Germany (invited);
2004-11-26.
-
G. Strasser:
"III-V Materials";
Talk: Joint Summer School of Infineon Austria and TU Wien,
Villach (invited);
2016-08-29
- 2016-09-02.
-
G. Strasser:
"InGaAs/GaAsSb infrared devices";
Talk: IRON Workshop,
Wien (invited);
2001-03-10.
-
G. Strasser:
"InGaAs/GaAsSb infrared devices";
Talk: IR-ON Symposium,
Wien (invited);
2011-03-10.
-
G. Strasser:
"InGaAs/GaAsSb: A new material system for THz QCLs";
Talk: Walter Schottky Institut TU-München,
München, Deutschland (invited);
2011-11-30.
-
G. Strasser:
"Linear and nonlinear effects in quantum cascade lasers";
Talk: NATO Workshop Terahertz sensing and security applications,
Thessaloniki, Greece (invited);
2006-09-10
- 2006-09-13.
-
G. Strasser:
"Materials and Photonics Engineering of Intersubband Devices";
Talk: Electrical Engineering Department, University of Buffalo,
Buffalo, USA;
2013-02-15.
-
G. Strasser:
"Materials and Photonics Engineering of Intraband Lasers";
Talk: Physics and Astronomy colloquium,
Norman, USA (invited);
2012-02-02.
-
G. Strasser:
"Materials Engineering at the ZMNS: from Nanostructures to Optoelectronic Devices";
Talk: EP - Russian Open Days,
Wien (invited);
2011-10-24
- 2011-10-25.
-
G. Strasser:
"Materials Engineering of Intraband Devices";
Talk: Institute for Nanotechnology, University of Waterloo,
Waterloo, Canada;
2013-02-18.
-
G. Strasser:
"MIR lab-on-a-chip: from discrete devices to an integrated system";
Talk: WOCSDICE-EXMATEC 2016,
Aveiro (invited);
2016-06-06
- 2016-06-10.
-
G. Strasser:
"Monolithic Bi-functional Quantum Cascade Laser and Detector System for Sensing Applications";
Talk: CHInano (The Premier Nanotech Business Event in China),
Suzhou, China;
2013-09-24
- 2013-09-27.
-
G. Strasser:
"Monolithic Integration of QC (Quantum Cascade) Lasers and Detectors to QC Systems";
Talk: Science Division Forum at State University of New York,
New York (invited);
2017-11-13.
-
G. Strasser:
"Monolithically Integrated Mid-infrared Nanosensors";
Talk: Seminar an der Fakultät für Chemie der Univ. Wien,
Wien (invited);
2016-06-24.
-
G. Strasser:
"Monolithically Integrated Nanosensors based on Quantum Cascade Lasers and Detectors";
Keynote Lecture: Seminar Moderne Analytische Chemie,
Wien;
2015-10-31.
-
G. Strasser:
"Monolithically integrated quantum cascade laser and detection devices";
Talk: Freiburg Infrared Colloquium,
Freiburg (invited);
2017-03-14
- 2017-03-15.
-
G. Strasser:
"Monolithically integrated quantum cascade lasers (QCLs) and detectors (QCDs)";
Talk: Walter Schottky Institut TU-München,
München (invited);
2017-01-10.
-
G. Strasser:
"Monomodige GaAs Quantum-Kaskadenlaser";
Talk: HHI,
Berlin, Germany;
2001-01-16.
-
G. Strasser:
"Nanosturctures in Photonics";
Talk: Optoelectronics & Photonics Winter School,
Trento, Italy;
2001-03-04
- 2001-03-11.
-
G. Strasser:
"On-chip and remote sensing Sensing with Quantum Cascade Devices";
Talk: Seminar "Halbleiterphysik und Nanotechnologie",
Leoben (invited);
2018-11-12.
-
G. Strasser:
"On-Chip and Remote Sensing with Monolithically Integrated Quantum Cascade Lasers and Detectors";
Talk: B4F Seminar,
Brno (invited);
2018-04-18.
-
G. Strasser:
"On-Chip and Remote Sensing with Quantum Cascade Laser and Detector Systems";
Talk: Seminarvortrag, Inst. f. Angewandte und Technische Physik,
Wien (invited);
2017-12-19.
-
G. Strasser:
"Photonic Engineering of Intraband Lasers";
Talk: Physics Colloquium of Intraband Lasers University of Innsbruck,
Innsbruck (invited);
2010-06-29.
-
G. Strasser:
"Prospects of intersubband laser action in GaAs cascaded quantum dots";
Talk: Photonics West,
San Jose, USA (invited);
2002-01-19
- 2002-01-25.
-
G. Strasser:
"Quanten-Kaskaden-Laser - ein leuchtendes Beispiel für Nanodesign";
Talk: Nanoforum 2002,
Johannes Kepler Universität Linz, Austria;
2002-11-14
- 2002-11-16.
-
G. Strasser:
"Quantum Cascade Detectors";
Talk: Online Conference International Quantum Cascade Laser School & Workshop (IQCLSW 2020),
Zürich (invited);
2020-09-07
- 2020-09-10.
-
G. Strasser:
"Quantum Cascade Lasers";
Talk: Physics Colloquium, Dep. of Physics and Applied Physics, University of Massachusetts,
Lowell, USA (invited);
2009-04-15.
-
G. Strasser:
"Quantum Cascade lasers: from nanostructures to real devices";
Talk: Nano and Photonics,
Mauterndorf (invited);
2007-03-14
- 2007-03-16.
-
G. Strasser:
"Quantum cascade ring lasers: beam profiles, polarization, integrated optics";
Talk: International Quantum Cascade Lasers School and Workshop,
Zürich, Schweiz (invited);
2014-01-16
- 2014-01-17.
-
G. Strasser:
"Recent results on GaAs-based quantum cascade lasers";
Talk: 38th Colloquium on the Physics of Quantum Electronics (PQE),
Snowbird, USA (invited);
2008-01-06
- 2008-01-10.
-
G. Strasser:
"Semiconductor Research in Austria: Optoelectronics and Photonics";
Talk: Nano and Photonics,
Mauterndorf (invited);
2013-03-20
- 2013-03-22.
-
G. Strasser:
"Single mode continous wave GaAs quantum cascade lasers";
Talk: APS March Meeting,
Seattle, USA (invited);
2001-03-12
- 2001-03-16.
-
G. Strasser:
"Single mode GaAs quantum cascade laser";
Talk: Österreichische Physikalische Gesellschaft, 50. Jahrestagung,
Graz, Austria;
2000-09-28
- 2000-09-29.
-
G. Strasser:
"Single mode GaAs quantum cascade laser";
Talk: ETH Zürich,
Zürich;
2000-10-17.
-
G. Strasser:
"Single mode GaAs quantum cascade laser";
Talk: Boston College,
Boston, USA;
2001-01-24.
-
G. Strasser:
"Single mode GaAs quantum cascade lasers";
Talk: 5th Symposium on New Phenomena in Mesoscopic Structures,
Waikoloa, USA (invited);
2001-11-25
- 2001-11-30.
-
G. Strasser:
"Surface emitting quantum cascade lasers";
Talk: 35th Colloquium on the Physics of Quantum Electronics (PQE),
Snwobird, USA (invited);
2005-01-02
- 2005-01-06.
-
G. Strasser:
"Technology of quantum cascade lasers";
Talk: Crystal Growth and Epitaxy, Review Conf. on scientific cooperation between Austria and Poland,
Vienna, Austria (invited);
2002-10-20
- 2002-10-24.
-
G. Strasser, A. Antipov, A. Sergeev, L. Chien, N. Vagidov, V. Mitin:
"Room-Temperature Quantum-Dot Photodetectors: Structures with Collective Potential Barriers";
Talk: ITQW,
Montreal, Canada;
2009-09-06
- 2009-09-11.
-
G. Strasser, C. Deutsch, H. Detz, A. Benz, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk, K. Unterrainer:
"InGaAs/GaAsSb: a new material system for terahertz quantum cascade lasers";
Talk: Optics + Photonics 2011,
San Diego, California, USA;
2011-08-21
- 2011-08-25.
-
G. Strasser, C. Deutsch, H. Detz, T. Zederbauer, A. M. Andrews, T. Kubis, W. Schrenk, K. Unterrainer:
"InGaAs/GaAsSb: a new material system for THz QCLs";
Talk: International Conference on Physics of Semiconductor (ICPS),
Zürich, Schweiz (invited);
2012-07-29
- 2012-08-03.
-
G. Strasser, S. Gianordoli, W. Schrenk, E. Gornik:
"GaAs based quantum cascade mircocavities";
Talk: Materials Research Society Spring Meeting (MRS),
Strasbourg, France (invited);
2000-05-31
- 2000-06-02.
-
G. Strasser, S. Gianordoli, W. Schrenk, E. Gornik:
"MBE-grown GaAs/AlGaAs and strained InGaAs/AlGaAs quantum cascade lasers";
Talk: MBE XI,
Peking, China (invited);
2000-09-10
- 2000-09-15.
-
G. Strasser, S. Gianordoli, W. Schrenk, E. Gornik:
"Single mode GaAs/AlGaAs QCLs";
Talk: General Conference of the Condensed Matter Division of the European Physical Society,
Montreux, Switzerland;
2000-03-13
- 2000-03-17.
-
G. Strasser, B. Hinkov, R. Szedlak, H. Detz, A. M. Andrews, W. Schrenk, B. Schwarz:
"QCLs and QCDs: on-chip and remote sensing";
Talk: Progress in Electromagnetics Research Symposium (PIERS),
Rom (invited);
2019-06-17
- 2019-06-20.
-
G. Strasser, L. Hoffmann, C. Hurni, E. Mujagic, M. Nobile, A. M. Andrews, P. Klang, W. Schrenk:
"Y-coupled GaAs Quantum Cascade Lasers";
Talk: International Semiconductor Device Research Symposium (ISDRS),
Univ. Maryland, College Park, USA;
2007-12-12
- 2007-12-14.
-
G. Strasser, L. Hoffmann, S. Schartner, M. Austerer, E. Mujagic, M. Nobile, W. Schrenk, A. M. Andrews, P. Klang:
"Phase-locking in Y-coupled Quantum Cascade Lasers";
Talk: APS March Meeting,
New Orleans, USA;
2008-03-10
- 2008-03-14.
-
G. Strasser, L. Hvozdara, W. Schrenk, S. Gianordoli, N. Finger, A. Lugstein, E. Gornik:
"Single mode GaAs/AlGaAs quantum cascade lasers";
Talk: International Conference on Physics of Semiconductor (ICPS),
Osaka;
2000-09-18
- 2000-09-22.
-
G. Strasser, M. Nobile, H. Detz, A. M. Andrews, P. Klang, W. Schrenk:
"An aluminum-free mid-infrared quantum cascade laser";
Talk: Photonics West,
San Francisco, USA;
2010-01-23
- 2010-01-28.
-
G. Strasser, D. Ristanic, B. Schwarz, P. Reininger, H. Detz, A. M. Andrews, T. Zederbauer, D. MacFarland, W. Schrenk:
"A Mid-Infrared On-Chip Sensor Array Based on Bi-functional Quantum Cascade Structures and Plasmonics";
Keynote Lecture: ICAVS8,
Wien (invited);
2015-07-12
- 2015-07-17.
-
G. Strasser, W. Schrenk:
"Epitaktisches Wachstum von gitterangepassten und verspannten III-V Verbindungen";
Poster: GMe Forum 2001,
Wien;
2001-04-05
- 2001-04-06.
-
G. Strasser, W. Schrenk, S. Anders, C. Pflügl, E. Gornik:
"Growth of GaAs based quantum cascade lasers";
Talk: 12th Int. Conf. on Molecular Beam Epitaxy,
San Francisco;
2002-09-15
- 2002-09-21.
-
G. Strasser, W. Schrenk, S. Anders, J. Ulrich, E. Gornik, K. Unterrainer:
"High performance AlGaAs/GaAs quantum cascade lasers";
Talk: 26th Int. Conf. on the Physics of Semiconductors,
Edingburgh, Scottland;
2002-07-29
- 2002-08-02.
-
G. Strasser, W. Schrenk, S. Gianordoli, E. Gornik, H. Page, C. Sirtori, V Ortiz:
"Room temperature & co0ntinuos wave GaAs quantum cascade lasers";
Talk: ITQW,
Asilomar, USA (invited);
2001-09-10
- 2001-09-14.
-
G. Strasser, W. Schrenk, S. Gianordoli, L. Hvozdara, N. Finger, K. Unterrainer, E. Gornik:
"Single mode emission from GaAl/AlGaAs intersubband and interminiband QCLs";
Talk: European Semiconductor Laser Workshop,
Berlin, Germany;
2000-09-01
- 2000-09-02.
-
G. Strasser, B. Schwarz, P. Reininger, O. Baumgartner, W. Schrenk, T. Zederbauer, H. Detz, A. M. Andrews, H. Kosina:
"Bi-functional Quantum Cascade Laser/Detectors for Integrated Photonics";
Talk: ÖPG-Jahrestagung,
Linz (invited);
2013-09-02
- 2013-09-06.
-
G. Strasser, C. Schwarzer, E. Mujagic:
"Surface emitting Quantum Cascade Lasers";
Talk: 4th European Conference on Applications of Femtosecond Lasers in Materials Science - FemtoMat,
Mauterndorf (invited);
2011-03-14
- 2011-03-18.
-
G. Strasser, I. Tanveer, B. McCombe, H. Detz:
"Effective masses of Quasi-2D electrons in InGaAs/GaAsSb modulation-doped heterostructures";
Poster: Annual March Meeting of the American Physical Society,
San Antonio;
2015-03-02
- 2015-03-06.
-
E. Strupiechonski, G. Xu, N. Isac, A. M. Andrews, Y. Todorov, C. Sirtori, G. Strasser, A. Degiron, R. Colombelli:
"" Sub-diffraction-limit resonators operating on the fundamental monopolar resonance: application to THz polaritons";
Talk: CLEO 2012,
San Jose, California, USA;
2012-05-06
- 2012-05-11.
-
R. Szedlak:
"Developments on Mid-IR laser sources";
Talk: Chii2017,
Graz;
2017-06-07
- 2017-06-08.
More information
-
R. Szedlak:
"Quantum cascaded lasers (QCLs): Fundamentals and perspectives";
Talk: 3rd TROPSENSE Workshop,
Ulm;
2017-01-09
- 2017-01-10.
-
R. Szedlak, A. Harrer, M. Holzbauer, B. Schwarz, H. Moser, E. Mujagic, C. Deutsch, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, K. Unterrainer, B. Lendl, G. Strasser:
"Infrared and terahertz spectroscopy with ring quantum cascade lasers";
Talk: German THz Conference 2015,
Dresden;
2015-06-08
- 2015-06-10.
-
R. Szedlak, A. Harrer, B. Schwarz, M. Holzbauer, J.P. Waclawek, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Remote gas sensing with quantum cascade systems";
Talk: Nano and Photonics,
Mauterndorf (invited);
2017-03-22
- 2017-03-25.
-
R. Szedlak, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"How whispering gallery modes can influence the far field of ring lasers";
Poster: ITQW,
Wien;
2015-09-06
- 2015-09-11.
-
R. Szedlak, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Probing whispering gallery modes in ring lasers";
Talk: 1st Next-Lite Workshop,
Hirschwang an der Rax;
2015-06-18
- 2015-06-19.
-
R. Szedlak, M. Holzbauer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Quantum Cascade Lasers for Infrared Spectroscopy";
Talk: ICAVS8,
Wien;
2015-07-12
- 2015-07-17.
-
R. Szedlak, M. Holzbauer, B. Schwarz, J.P. Waclawek, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, B. Lendl, G. Strasser:
"Gas sensing with bi-functional ring resonators as laser and detector";
Poster: MESS16 - Microelectronic Systems Symposium,
Wien;
2016-04-28
- 2016-04-29.
-
R. Szedlak, C. Schwarzer, A. Genner, L. Burgstaller, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Polarization Versatility of Ring Cavity Quantum Cascade Lasers";
Talk: CLEO Europe 2013,
Munich;
2013-05-12
- 2013-05-16.
-
R. Szedlak, C. Schwarzer, A. Genner, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Grating-Design based Far Field Modifications of Ring Cavity Quantum Cascade Lasers";
Talk: Joint Annual Meeting of ÖPG, SPG, ÖGAA und SGAA 2013,
Linz;
2013-09-02
- 2013-09-06.
-
R. Szedlak, C. Schwarzer, M. Holzbauer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Polarization and Far Field Modifications of Ring Lasers";
Poster: Infrared & Raman Discussion Group,
Wien;
2014-04-24
- 2014-04-25.
-
R. Szedlak, C. Schwarzer, D. MacFarland, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Cascade Lasers with Grating Modications";
Talk: 3rd International Nanophotonics Meeting 2013,
Salzburg;
2013-09-01
- 2013-09-03.
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Focused Emission Beam from Ring Quantum Cascade Lasers";
Talk: 32nd International Conference on the Physics of Semiconductors (ICPS2014),
Austin;
2014-08-10
- 2014-08-15.
More information
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Polarization Features of Ring Cascade Lasers";
Poster: 18th International Winterschool on New Developments in Solid State Physics,
Mauterndorf;
2014-02-23
- 2014-02-28.
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Cascade Lasers - Integrated Phase Shifts & On-Chip Focusing";
Talk: Joint Symposium SFB IR-ON & SFB Next-Lite,
Wien;
2014-03-13
- 2014-03-14.
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Cascade Lasers with Integrated Pi-Phase-Shifts";
Talk: Photonics West,
San Francisco, USA (invited);
2014-02-01
- 2014-02-06.
-
R. Szedlak, C. Schwarzer, T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Ring Quantum Cascade Lasers with Grating Phase Shifts";
Talk: 32nd International Conference on the Physics of Semiconductors (ICPS2014),
Austin;
2014-08-10
- 2014-08-15.
More information
-
V Tamosiunas, S Anders, T. Müller, W. Schrenk, G. Strasser, K. Unterrainer:
"THz Quantum Cascade Lasers: From quantum wells to quantum boxes";
Talk: Fundamental Optical Prosesses in Semiconductors (FOPS),
Estes Park, USA;
2004-08-08
- 2004-08-13.
-
V Tamosiunas, R. Zobl, G. Fasching, T. Müller, J. Darmo, J. Kröll, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, K. West, L. Pfeiffer, F. Capasso:
"Field Control of THz Quantum Cascade Lasers";
Talk: International Conference on Physics of Semiconductor (ICPS),
Flagstaff, USA;
2004-07-26
- 2004-07-30.
-
V. Tamosiunas, R. Zobl, G. Fasching, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, F. Capasso, K. West, L. Pfeiffer:
"Magnetic Field Effects in Terahertz Quantum Cascade Lasers";
Talk: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Modena, Italien;
2003-07-28
- 2003-08-01.
-
V. Tamosiunas, R. Zobl, G. Fasching, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, F. Capasso, K. West, L. Pfeiffer:
"Terahertz quantum cascade lasers in strong magnetic fields";
Poster: IOP-meeting on Quantum Cascade Lasers,
Univ. Of Leeds, United Kingdom;
2003-01-15
- 2003-01-15.
-
V. Tamosiunas, R. Zobl, G. Fasching, J. Ulrich, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, K. West, F. Capasso:
"Magnetic Quantization in Terahertz Quantum Cascade Lasers";
Talk: ITQW,
Evolène, Switzerland;
2003-09-01
- 2003-09-05.
-
A. Taylor, N Lambert, P. Hubik, J. Bulir, J. Moris-Chevalier, H Karaca, C. Fleury, J. Voves, Z. Soban, D. Pogany, V. Mortet:
"Experimental and modelled I-V characteristics of boron-doped diamond at high electric fields including self-heating effect";
Talk: MRS Fall Meeting,
Boston;
2019-12-01
- 2019-12-06.
-
M. Tchernycheva, H. Macchadani, S. Sakr, L. Nevou, J. Mangeney, L. Vivien, F.H. Julien, P. Kandaswamy, A. Wirthmüller, E. Monroy, A. Vardi, S. Schacham, G. Bahir, G. Pozzovivo, S. Golka, G. Strasser:
"Nitride infrared intersubband devices";
Talk: Photonics West,
San Francisco, USA (invited);
2010-01-23
- 2010-01-28.
-
Y. Todorov, A. M. Andrews, I. Sagnes, G. Strasser, C. Sirtori:
"THz Intersubband Polaritons";
Poster: International Quantum Cascade Lasers School & Workshop,
Monte Verita, Ascona, Switzerland;
2008-09-14
- 2008-09-19.
-
Y. Todorov, L. Tosetto, A. M. Andrews, R. Colombelli, G. Strasser, C. Sirtori:
"Multi-subband THz Polaritons";
Talk: Int. Conf. on Superlattices, Nanostructures and Nanodevices (ICSNN),
Dresden, Deutschland (invited);
2012-07-22
- 2012-07-27.
-
M. Traving, G. Schindler, G. Steinlesberger, W. Steinhögl, C.M. Engelhardt:
"On The Way to the 2.5 nm Barrier For End-of-Roadmap MPUs";
Talk: AMC,
San Diego;
2002-10-01
- 2002-10-03.
-
J. Ulrich, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade emission:intra-verus interwell transition";
Talk: INTERACT-meeting,
Chateau de Bonas, France;
2000-06-29
- 2000-06-30.
-
J. Ulrich, G. Strasser, K. Unterrainer, E. Gornik:
"Intrawell versus interwell THz emission";
Poster: International Conference on Physics of Semiconductor (ICPS),
Osaka;
2000-09-18
- 2000-09-22.
-
J. Ulrich, V. Tamosiunas, J. Kreuter, G. Strasser, K. Unterrainer, R. Colombelli, C. Gmachl, F. Cappasso, H. Y. Hwang, D. L. Sivco, A. Y. Cho:
"Waveguides for long wavelength quantum cascade lasers";
Talk: 10th Int. IEEE Conf. on Terahertz Electronics,
Cambridge, UK;
2002-09-09
- 2002-09-10.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade emission and detection";
Talk: International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS),
Santa Fe, New Mexico, USA;
2001-08-27
- 2001-08-31.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade emitters";
Talk: Workshop on Electronic Material Nanostructures: Fabrication and Characterisation,
Warsaw, Poland (invited);
2001-09-20
- 2001-09-23.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz quantum cascade emitters: the role of intersubband scattering";
Talk: ITQW,
Asilomar, USA (invited);
2001-09-10
- 2001-09-14.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz-Quantum Cascade Emitters";
Talk: 10th International Conference On Modulated Semiconductor Sturctures MSS10,
Linz, Austria;
2001-07-23
- 2001-07-27.
-
J. Ulrich, R. Zobl, W. Schrenk, G. Strasser, K. Unterrainer:
"Terahertz-Quantum Cascade Emitters";
Talk: Mid Infrared Coherent Sources,
St. Petersburg, Russia;
2001-06-25
- 2001-06-29.
-
J. Ulrich, R. Zobl, W. Schrenk, K. Unterrainer:
"Terahertz-quantum cascade emitters";
Talk: MICS'2001,
St. Petersburg, Russia;
2001-06-25
- 2001-06-29.
-
K. Unterrainer:
"Coherent and photon assisted transport in vertical quantum structures";
Talk: TMR Advanced Research School, Space-, Frequency-, and Time resolved Quantum Transport,
Hamburg (invited);
2000-09-03
- 2000-09-09.
-
K. Unterrainer:
"Few-cycle terahertz generation and spectroscopy of nanostructures";
Talk: Royal Society Meeting "The therahertz gap: the generation of far-infrared radiation and its applications,
London, UK (invited);
2003-06-04
- 2003-06-05.
-
K. Unterrainer:
"few-cycle THz generation and spectroscopy";
Talk: Institut National des Sciences Appliquées (INSA),
Toulouse;
2001-01-27.
-
K. Unterrainer:
"THz emission form semiconductor quantum structures";
Talk: Workshop on Quantum Heterostructures and THz Electronics,
Regensburg, Germany (invited);
2001-02-01.
-
K. Unterrainer:
"THz emission from semiconductors";
Talk: INFM School on "Progress in Laser Sources and Photonic Devices,
Capri, Italy (invited);
2002-10-01
- 2002-10-08.
-
K. Unterrainer:
"THz generation from intersubband devices";
Talk: NATO Advanced Research Workshop "Terahertz Sources and Systems",
Chateau de Bonas, France (invited);
2000-06-21
- 2000-06-28.
-
K. Unterrainer:
"THz time domain spectroscopy of semiconductor nanostructures";
Talk: Physics Colloquium, Boston College,
Boston, USA;
2000-11-15.
-
K. Unterrainer:
"Towards a THz laser";
Talk: Seminar Princeton University,
Princeton;
2000-11-16.
-
K. Unterrainer:
"Towards a THz laser: Electroluminescence and optically excited THz emission";
Talk: Bell Laboratories,
Lucent Technologies;
2000-11-17.
-
K. Unterrainer:
"Towards a THz laser: Nonlinear- and Emission THz spectroscopy";
Talk: Seminar Princeton University,
Troy;
2000-11-20.
-
K. Unterrainer:
"Towards a THz Quantum Cascade Laser";
Talk: Stevans Institute of Technology,
Hoboken NJ;
2001-09-26.
-
K. Unterrainer:
"Ultrabroadband THz Generation and Spectroscopy";
Talk: 1st International Conference on Biomedical Imaging & Sensing Applications of Terahertz Technolobgy,
Leeds, England (invited);
2001-11-30
- 2001-12-01.
-
K. Unterrainer:
"Ultrafast Spectroscopy of Intersubband Transitions";
Talk: Workshop on Quantum Heterostructures and THz Electronics,
Regensburg (invited);
2002-01-17.
-
K. Unterrainer, A. Benz, G. Fasching, C. Deutsch, W. Parz, J. Darmo, A. M. Andrews, W. Schrenk, G. Strasser:
"THz Quantum Cascade Lasers with Microgravity and Photonics Crystal Resonators";
Talk: Workshop on Information, Nano and Photonics Technology 2009 (WINPTech 2009),
Kobe, Japan (invited);
2009-12-01
- 2009-12-02.
-
K. Unterrainer, R. Bratschitsch, R. Kersting, T. Müller, G. Strasser, J.N. Heyman:
"Few-Cycle THz spectroscopy of semiconductor quantum structures";
Talk: 11th Int. Winterschool on New Developments in Solid State Physics, Low-dimensional Systems: Fundamen,
Mauterndorf, Austria (invited);
2000-02-21
- 2000-02-25.
-
K. Unterrainer, R. Bratschitsch, T. Müller, R. Kersting, J.N. Heyman, G. Strasser:
"Few-Cycle THz pulse generation and spectroscopy of semiconductor nanostructures";
Talk: 12th Int. Semiconducting and Insulating Materials Conference,
Smolenice Castle, Slovakia (invited);
2002-06-30
- 2002-07-05.
-
K. Unterrainer, R. Bratschitsch, J. Ulrich, G. Strasser:
"THz emission from semiconductor nanostructures";
Talk: General Conference of the Condensed Matter Division of the European Physical Society,
Montreux, Switzerland (invited);
2000-03-13
- 2000-03-17.
-
K. Unterrainer, J. Darmo, T. Müller, G. Strasser, G. Tempea, T. Le, A. Stingl:
"Cavity enhanced few-cycle THz generation and coherent spectroscopy";
Talk: CLEO 2003,
Baltimore, Maryland (invited);
2003-06-01
- 2003-06-06.
-
A. Urich, M. M. Furchi, A. Pospischil, G. Lilley, K. Unterrainer, H. Detz, P. Klang, A. M. Andrews, W. Schrenk, G. Strasser, T. Müller:
"Graphene microcavity photodetector";
Poster: Graphene Week,
Delft, Niederlande;
2012-06-04
- 2012-06-08.
-
S. Valdueza-Felip, L. Monteagudo-Lerma, F. Naranjo, P. Corredera, L. Rapenne, E. Sarigiannidou, G. Strasser, E. Monroy, M. Gonzalez-Herraez:
"Optical saturation of intraband absorption of GaN/AlN quantum-dot waveguides at 1.55 μm";
Poster: International Symposium on Growth of III-Nitrides,
St.Petersburg, Russia;
2012-07-16
- 2012-07-19.
-
S. Wachter, M. Gavagnin, H. D. Wanzenböck, M. Shawrav, D. Belic, E. Bertagnolli:
"Nitrogen Carrier Gas for Regime Control in Focused Electron Beam Induced Deposition";
Poster: 5th workshop on Focused Electron Beam Induced Processing FEBIP2014,
Frankfurt;
2014-07-22
- 2014-07-24.
-
S. Wachter, D.K Polyushkin, O. Bethge, T. Müller:
"A microprocessor based on a two-dimensional semiconductor";
Poster: Graphene Study 2017,
Gothenburg, Sweden;
2017-06-25
- 2017-06-30.
-
S. Wagesreither, E. Bertagnolli, S. Kawase, Y. Isono, A. Lugstein:
"Investigations on the Piezoresistivity of Monolithically Integrated VLS Grown Silicon Nanowires by Electrostatic Actuation with a MEMS Device";
Talk: MRS Fall Meeting 2014,
Boston, MA, USA;
2014-11-30
- 2014-12-05.
-
S. Wagesreither, A. Lugstein, E. Bertagnolli:
"Ultra Fast and Anisotropic Diffusion of Lithium in Silicon Nanostructures";
Talk: DPG-Frühjahrstagung 2012 (Spring Meeting of the Condensed Matter Section),
Berlin, Deutschland;
2012-03-25
- 2012-03-30.
-
S. Wagesreither, A. Lugstein, Y. Isono, E. Bertagnolli:
"Tuning the Tensile Strain in Silicon Nanowires by an Electrostatic Actuated MEMS Device";
Talk: MRS Fall Meeting,
Boston, USA;
2013-12-01
- 2013-12-06.
-
S. Wagesreither, K. Winkler, A. Lugstein, Y. Isono, E. Bertagnolli:
"Tunable Straining of Silicon Nanowires Integrated in a MEMS Device by Electrostatic Actuation";
Talk: Smart Systems Integration (SSI) 2014,
Wien;
2014-03-26
- 2014-03-27.
-
M. Wagner, D. Golde, D. Stehr, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser, M. Kira, S. Koch:
"Fano interference in the intersubband THz response of photoexcited GaAs/AlGaAs quantum wells";
Talk: ITQW,
Montreal, Canaca;
2009-09-06
- 2009-09-11.
-
M. Wagner, D. Golde, D. Stehr, H. Schneider, M. Helm, A. M. Andrews, T. Roch, G. Strasser, M. Kira, S. Koch:
"Fano signatures in the intersubband THz response of GaAs/AlGaAs quantum wells after interband photoexcitation";
Poster: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
2010-07-25
- 2010-07-30.
-
M. Wagner, H. Schneider, M. Helm, S. Schartner, A. M. Andrews, T. Roch, G. Strasser:
"THz sideband generation in GaAs/AlGaAs multi quantum wells";
Poster: 16th International Conference on Electron Dynamics In Semiconductors, Optoelectronics and Nanostructures,
Montpellier, Le Corum;
2009-08-24
- 2009-08-28.
-
M. Wagner, H. Schneider, D. Stehr, S. Winnerl, M. Helm, T. Roch, A. M. Andrews, S. Schartner, G. Strasser:
"Terahertz induced intra-excitonic Autler-Townes effect in semiconductor quantum wells";
Talk: International Conference on Physics of Semiconductor (ICPS),
Seoul, Korea;
2010-07-25
- 2010-07-30.
-
M. Wagner, D. Stehr, H. Schneider, M. Helm, D. Golde, M. Kira, S. Koch, A. M. Andrews, T. Roch, G. Strasser:
"Fano profile in the intersubband THz response of photoexcited GaAs/AlGaAs quantum wells";
Talk: 16th International Conference on Electron Dynamics In Semiconductors, Optoelectronics and Nanostructures,
Montpellier, Le Corum;
2009-08-24
- 2009-08-28.
-
M. Wagner, D. Stehr, H. Schneider, S. Winnerl, A. M. Andrews, S. Schartner, G. Strasser, M. Helm:
"AC Stark effect of the intraexciton 1s-2p quantum well transition";
Talk: International Workshop on Optical Terahertz Science and Technology (OTST 2011),
Santa Barbara, California;
2011-03-13
- 2011-03-17.
-
S. Waid, J. Mika, S. Lindsey, H. D. Wanzenböck, G. Hobler, E. Bertagnolli:
"Fabrication of 3D Axon Isolation Channels by Inverse Modelling Assisted Focused Ion Beam Patterning";
Poster: Micro- and Nano-Engineering Conference,
Toulouse, France;
2012-09-16
- 2012-09-20.
-
S. Waid, M. Mühlberger, H. D. Wanzenböck, E. Bertagnolli:
"Impact of Focused Ion Beam (FIB) Stamp Repair on UV Nanoimprint Lithography (NIL) Resist Curing";
Poster: Micro- and Nano-Engineering Conference,
Toulouse, France;
2012-09-16
- 2012-09-20.
-
S. Waid, M. Mühlberger, H. D. Wanzenböck, E. Bertagnolli:
"The Impact of Focused Ion Beam Stamp Repair on Resist Curing in UV-based Nanoimprint Lithography";
Poster: International Conference on Nanoimprint and Nanoprint Technology,
Napa, California, USA;
2012-10-24
- 2012-10-26.
-
S. Waid, H. D. Wanzenböck:
"FIB-implantation for Ga hardmask generation - a new approach for NIL-stamp fabrication";
Talk: NILaustria meeting,
Steyr;
2011-03-31.
-
S. Waid, H. D. Wanzenböck, E. Bertagnolli:
"Direct Hard Mask Patterning by Focused Ion Beam";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
2011-05-31
- 2011-06-03.
-
S. Waid, H. D. Wanzenböck, E. Bertagnolli, M. Mühlberger:
"3D Nano Patterning using Local Ga Implantation and Subsequent RIE Etch";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
2011-05-31
- 2011-06-03.
-
S. Waid, H. D. Wanzenböck, M. Mühlberger, E. Bertagnolli:
"Impact of Ga contamination on UV-NIL stamp repair";
Poster: International Conference on Ion Beam Modification of Materials (IBMM),
Jinan, China;
2012-09-02
- 2012-09-07.
-
S. Waid, H. D. Wanzenböck, M. Mühlberger, E. Bertagnolli:
"Method for 3D Nanoimprint Lithography Stamp Fabrication";
Poster: International Conference on Micro- and Nano-Engineering,
Berlin, Deutschland;
2011-09-19
- 2011-09-23.
-
W. Wallisch, M. Stöger-Pollach, E. Navickas, A. Steiger-Thirsfeld, O. Bethge:
"Analysis of optical properties of LSM-LAO interfaces by means of VEELS";
Talk: 6th ASEM Workshop,
Leoben;
2016-04-28
- 2016-04-29.
-
K. Wang, R. Ramaswamy, M. Bell, A. Sergeev, A. Verevkin, G. Strasser, V. Mitin:
"Terahertz mixing in AlGaAs/GaAs 2DEG hot-electron microbolometers at liquid nitrogen temperatures";
Talk: APS March Meeting,
Pittsburgh, USA;
2009-03-16
- 2009-03-20.
-
H. D. Wanzenböck:
"Academic curricula in Austria - Microelectronics";
Talk: Invited Lecture at Dalian Nationalities University,
Dalian;
2014-11-05.
-
H. D. Wanzenböck:
"Electron beam systems - Nanotechnology and Applications in Semiconductor Technology";
Talk: Leo GEMINI Meeting,
Oberkochen, Germany (invited);
2004-05-04
- 2004-05-05.
-
H. D. Wanzenböck:
"FIB-deposition of SiO2 for NIL-hardmasks";
Talk: NILaustria meeting,
Linz;
2011-10-27.
-
H. D. Wanzenböck:
"Focused electron beam induced processing";
Talk: ENHANCE Winter School,
Bochum, Deutschland (invited);
2011-01-26.
-
H. D. Wanzenböck:
"Gas injectionsystem for Multibeam tools";
Talk: NILaustria meeting,
Steyr;
2011-03-31.
-
H. D. Wanzenböck:
"HERO - High Energy Electron-induced Reaction for Depositiion of 3-D Objects";
Talk: EU Partnering Day,
Geneva, Schweiz;
2005-01-11.
-
H. D. Wanzenböck:
"Nanostructured Catalyst Arrays";
Talk: TU Wien,
Wien;
2011-10-27.
-
H. D. Wanzenböck:
"Nanostructuring by focused electron beam induced deposition";
Talk: Invited Lecture at Dalian Nationalities University,
Dalian;
2014-11-05.
-
H. D. Wanzenböck:
"Prinzip der Direktabscheidung mit dem Elektronenstrahl";
Talk: NTS Kolloquium,
Oberkochen, Deutschland;
2008-02-28.
-
H. D. Wanzenböck:
"Surface patterning by electron beam induced depositions - A new approach for NIL ?";
Talk: NIL-Materials - Projectmeeting,
Linz;
2014-01-10.
-
H. D. Wanzenböck:
"SUSI - SUrface engineered Smart Instrumentation";
Talk: EU Partnering Day,
Geneva, Schweiz;
2005-01-11.
-
H. D. Wanzenböck:
"Testdesigns für Morpho-Blue NIL";
Talk: M6 Projektpräsentation "RollerNIL",
Salzburg;
2014-10-09.
-
H. D. Wanzenböck, And. Amon, J. Mika, E. Bertagnolli:
"Axon-Isolation Device fabricated by Nanoimprintlithography";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Waikoloa, Hawaii;
2012-05-28
- 2012-05-31.
-
H. D. Wanzenböck, E. Bertagnolli:
"Arrays of Single-Domain Nanomagnets As Memory- Logic-Hybrid Device - a Nonvolatile Magnetic Memory That Can Perform Logical Operations";
Talk: Pacific Rim Meeting (PRIME) of the Electrochemical Society (ECS),
Honolulu, USA;
2016-10-02
- 2016-10-07.
-
H. D. Wanzenböck, E. Bertagnolli:
"Gentle, Nondestructive Monitoring of Wound Healing in Cell-Based Assays Using Electrochemical Impedance Spectroscopy";
Talk: Pacific Rim Meeting (PRIME) of the Electrochemical Society (ECS),
Honolulu, USA;
2016-10-02
- 2016-10-07.
-
H. D. Wanzenböck, E. Bertagnolli:
"Maskless Direct-Write Etching of Nanopit Arrays in Si";
Talk: Pacific Rim Meeting (PRIME) of the Electrochemical Society (ECS),
Honolulu, USA;
2016-10-02
- 2016-10-07.
-
H. D. Wanzenböck, E. Bertagnolli, U. Grabner, P. Pongratz:
"TEM-images for interface study of dielectrics deposited locally by a focused ion beam";
Poster: FIB 2001 Advances in Focused Ion Beam Microscopy,
Oxford, UK;
2001-03-30.
-
H. D. Wanzenböck, K. Dominizi, P. Hagl, E. Bertagnolli, e. Bogner, M. Wirth, F. Gabor:
"Electrical Monitoring of Cell Interaction on a Microelectronic Interface";
Poster: Symposium of the American Vacuum Society,
Boston, USA;
2005-10-30
- 2005-11-04.
-
H. D. Wanzenböck, M. Fischer, E. Bertagnolli:
"Custom-design of Optical Thin Films of Silicon Oxide by Direct Write Deposition";
Talk: Symposium of the American Vacuum Society,
Boston, USA;
2005-10-30
- 2005-11-04.
-
H. D. Wanzenböck, M. Fischer, J. Gottsbachner, S. Müller, E. Bertagnolli:
"Electron-induced Reactions on Solid Surfaces";
Talk: 55. Jahrestagung der Österreichischen Physikalischen Gesellschaft,
Wien, Österreich;
2005-09-27
- 2005-09-29.
-
H. D. Wanzenböck, M. Fischer, S. Müller, J. Gottsbachner, W. Brezna, M. Schramböck, A. Tomasik, H. Störi, H. Hutter:
"Local Nanodeposition of Oxides with Focused Beams - Improving Material and Interface Quality";
Poster: Symposium of the American Vacuum Society,
Boston, USA;
2005-10-30
- 2005-11-04.
-
H. D. Wanzenböck, S. Forouzan, E. Bertagnolli:
"Biophysical Electroanalysis of Human Coco-2 Tissue utilizing Microstructured Biosensors";
Talk: Meeting of the Electrochemical Society (ECS),
Honolulu, HI;
2008-10-12
- 2008-10-17.
-
H. D. Wanzenböck, S. Forouzan, E. Bertagnolli:
"Topographic Effects of Microstructured Biosensors";
Talk: Meeting of the Electrochemical Society (ECS),
Honolulu, HI;
2008-10-12
- 2008-10-17.
-
H. D. Wanzenböck, M. Gavagnin, E. Bertagnolli:
"Unleashing the Magic of Nanomagnet Assemblies - Direct-Write Deposition of Nanomagnet Logic Circuitry";
Talk: Pacific Rim Meeting (PRIME) of the Electrochemical Society (ECS),
Honolulu, USA;
2016-10-02
- 2016-10-07.
-
H. D. Wanzenböck, M. Gavagnin, M. Shawrav, A. Persson, K. Gunnarsson, P. Svedlindh, M. Stöger-Pollach, E. Bertagnolli:
"3-dimensional nanomagnet logic (NML) gates - A new approach for logic application of wire-like magnetic nanostructures";
Talk: International Workshop on Magnetic Nanowires and Nanotubes,
Meersburg, Germany (invited);
2015-05-17
- 2015-05-20.
-
H. D. Wanzenböck, M. Gavagnin, S. Wachter, M. Shawrav, A. Persson, K. Gunnarsson, P. Svedlindh, M. Stöger-Pollach, E. Bertagnolli:
"FEBID takes it into a higher dimension - 3-dimensional nanomagnet logic arrays";
Poster: 5th workshop on Focused Electron Beam Induced Processing FEBIP2014,
Frankfurt;
2014-07-22
- 2014-07-24.
-
H. D. Wanzenböck, M. Gavagnin, S. Wachter, M. Shawrav, A. Persson, K. Gunnarsson, P. Svedlindh, M. Stöger-Pollach, E. Bertagnolli:
"Magnetic spike tips for high-aspect ratio magnetic force microscopy";
Talk: 5th workshop on Focused Electron Beam Induced Processing FEBIP2014,
Frankfurt;
2014-07-22
- 2014-07-24.
-
H. D. Wanzenböck, M. Gavagnin, S. Wachter, M. Shawrav, M. Stöger-Pollach, E. Bertagnolli:
"KickElectron-induced fragmentation of Fe(CO)5 to magnetic nanostructures";
Poster: CELINA EU-COST action - Kick-off meeting,
Erlangen;
2014-03-20
- 2014-03-21.
-
H. D. Wanzenböck, M. Gavagnin, S. Wachter, M. Shawrav, M. Stöger-Pollach, A. Persson, K. Gunnarsson, P. Svedlindh, E. Bertagnolli:
"Functional nanomagnets by FEBID - How to make them - How to use them";
Keynote Lecture: Condensed Matter in Paris 2014 - CMD25-JMC14,
Paris;
2014-08-24
- 2014-08-29.
-
H. D. Wanzenböck, S. Gergov, U. Grabner, P. Pongratz, H. Störi, H. Hutter, B. Basnar, J. Smoliner, E. Bertagnolli:
"Sublithographische Siliziumoxid-Strukturen für die Phasenshift-Technologie";
Poster: GMe Forum 2001,
Wien;
2001-04-06.
-
H. D. Wanzenböck, P. Hagl, K. Dominizi, E. Bertagnolli:
"Microelectronic Multielectrode Interface for Evaluation of Living Cells";
Talk: Symposium of the American Vacuum Society,
Boston, USA;
2005-10-30
- 2005-11-04.
-
H. D. Wanzenböck, S. Harasek, E. Bertagnolli, H. Hutter, J. Brenner, H. Störi, P. Pongratz:
"Direct-Write Deposition of Silicon Oxide - The Espress Lane towards patterned thin Films";
Talk: Meeting of the Electrochemical Society (ECS),
Paris, France (invited);
2003-04-27
- 2003-05-02.
-
H. D. Wanzenböck, S. Harasek, G. Hobler, H. Hutter, H. Störi, P. Pongratz, E. Bertagnolli:
"Dielectric nanostructure fabricatio using a focused ion beam";
Talk: IBMM 2002,
Kobe, Japan;
2002-09-01
- 2002-09-06.
-
H. D. Wanzenböck, S. Harasek, H. Langfischer, E. Auer, E. Bertagnolli, H. Hutter, H. Störi:
"Focused Ion Beam induced Chemical Vapor Deposition (FIB-DVD) for Local Nanodeposition of Dielectric Material";
Poster: Meeting of the Electrochemical Society (ECS),
Paris, France;
2003-04-27
- 2003-05-02.
-
H. D. Wanzenböck, S. Harasek, H. Langfischer, E. Bertagnolli, U. Grabner, P. Hammer, P. Pongratz:
"FI-TEM characterization of locally resticted implantation damage";
Poster: Materials Research Society Fall Meeting (MRS),
Bosten, USA;
2002-12-02
- 2002-12-06.
-
H. D. Wanzenböck, S. Harasek, H. Langfischer, W. Brezna, J. Smoliner, E. Bertagnolli:
"Deposition Mechanism of oxide thin films manufactured by a focused energetic beam process";
Poster: Materials Research Society Fall Meeting (MRS),
Boston, USA;
2002-12-02
- 2002-12-06.
-
H. D. Wanzenböck, G. Hobler, H. Langfischer, S. Harasek, W. Brezna, J. Smoliner, E. Bertagnolli:
"Characterization of Doping and intermixing effects of focused ion beam processing";
Talk: IBMM 2002,
Kobe, Japan;
2002-09-01
- 2002-09-06.
-
H. D. Wanzenböck, G. Hochleitner, E. Bertagnolli:
"Effect of gaseous additives on Electron Beam Induced Deposition";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Portland, USA;
2008-05-27
- 2008-05-29.
-
H. D. Wanzenböck, G. Hochleitner, M. Fischer, E. Bertagnolli:
"Dielectric Nanostructure Deposition by Focused Particle Beams";
Poster: International Conference on VLSI Multilevel Interconnection,
Fremont, California, USA;
2008-10-27
- 2008-10-30.
-
H. D. Wanzenböck, G. Hochleitner, P. Rödiger, E. Bertagnolli:
"Direct-Write Deposition of Fe and Co Nanostructures";
Talk: Meeting of the Electrochemical Society (ECS),
Honolulu, HI;
2008-10-12
- 2008-10-17.
-
H. D. Wanzenböck, G. Hochleitner, A. Rosenthal, W. Bühler:
"Multiple-Gas-Injector for Electron Beam Induced Deposition";
Poster: 2nt International FEBIP Workshop 2008 - Workshop on focused electron beam induced Processing,
Thun, Schweiz;
2008-07-07
- 2008-07-08.
-
H. D. Wanzenböck, B. Ismail, P. Rödiger, J.M. Greil, M. Hetzel, A. Lugstein, E. Bertagnolli:
"Engineering electrical properties of Silicon nanowires by focused electron beam induced processing with Chlorine";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Waikoloa, Hawaii;
2012-05-28
- 2012-05-31.
-
H. D. Wanzenböck, H. Langfischer, S. Harasek, E. Bertagnolli:
"Versatile Nanodeposition of Dielectrics and Metals by noncontract direct-write technologies";
Talk: Materials Research Society Fall Meeting (MRS),
Boston, USA;
2002-12-02
- 2002-12-06.
-
H. D. Wanzenböck, J.K. Mika, And. Amon, E. Bertagnolli, K. Schwarz, S. Huck:
"Microelectronic measurement platform for neural activity";
Poster: Annual Meeting of the Austrian Neuroscience Association,
Alpbach;
2011-09-19
- 2011-09-21.
-
H. D. Wanzenböck, J. Popovic, C. Peter:
"Bioimpedance measurement of Caco-2 chips - a new fluid system for medium supply";
Talk: University Vienna, Institute for Pharmaceutical Technology and Biopharmaceutics,
Wien;
2011-12-14.
-
H. D. Wanzenböck, P. Rödiger, M. Hörtlackner, E. Bertagnolli:
"Analysis and evaluation process for quantification of residual gas deposition by a focused electron beam";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Florida, USA;
2009-05-26
- 2009-05-29.
-
H. D. Wanzenböck, P. Rödiger, S. Waid, G. Hochleitner, E. Bertagnolli:
"Focused Beam Induced Etching - Making the Right Choice Between Ions and Electrons";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Las Vegas, USA;
2011-05-31
- 2011-06-03.
-
H. D. Wanzenböck, L. Schneider, J.K. Mika:
"Custom-engineered Microelectrode Array for electrical investigation of neuronal cell cultures";
Talk: University of Vienna, Center for Brain Research,
Wien;
2011-03-03.
-
H. D. Wanzenböck, P. Schuller, E. Bertagnolli:
"How Microfluidics Can Help to Understand and Promote Nerve Healing after Injury: A Neurobiological Microfluidic Device with Electrophysiological Functionality";
Talk: Pacific Rim Meeting (PRIME) of the Electrochemical Society (ECS),
Honolulu, USA;
2016-10-02
- 2016-10-07.
-
H. D. Wanzenböck, P. Schuller, M. Gergits, M. Shawrav, J. Mika, P. Scholze, E. Bertagnolli:
"Controlling Neuronal Connectivity - A Microfluidic Microelelctrode Array for Evaluation of Neurotrophic Factors";
Poster: 42nd International Conference on Nano Engineering, MNE 2016,
Wien;
2016-09-19
- 2016-09-23.
-
H. D. Wanzenböck, M. Shawrav, D. Belic, M. Gavagnin, O. Bethge, E. Bertagnolli:
"Investigation on Electronic Properties of Semiconductor Nanowires using Focused Electron Beam Induced Etching (FEBIE) with Chlorine";
Poster: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
2013-05-27
- 2013-05-31.
-
H. D. Wanzenböck, M. Shawrav, M. Gavagnin, A. Persson, K. Gunnarsson, P. Svedlindh, M. Stöger-Pollach, E. Bertagnolli:
"Pushing nanomagnet logic into a higher dimension FEBID of 3-dimensional nanomagnetic arrays";
Poster: Biennial European Conference on Chemical Vapor Deposition,
Sempach, Schweiz;
2015-07-13
- 2015-07-17.
-
H. D. Wanzenböck, M. Shawrav, G. Hochleitner, E. Bertagnolli:
"Nozzle-based injection systems for FEBID characteristics and limiations";
Poster: Biennial European Conference on Chemical Vapor Deposition,
Sempach, Schweiz;
2015-07-13
- 2015-07-17.
-
H. D. Wanzenböck, M. Shawrav, S. Wachter, P. Taus, E. Bertagnolli:
"Carrier gas controlled precursor delivery a way to increase process control in FEBID";
Talk: Biennial European Conference on Chemical Vapor Deposition,
Sempach, Schweiz (invited);
2015-07-13
- 2015-07-17.
-
H. D. Wanzenböck, S. Waid, E. Bertagnolli:
"Fabrication of 3-dimensional Nanoimprint Stamps A comparison of 4 approaches using FIB";
Poster: International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN),
Waikoloa, Hawaii;
2012-05-28
- 2012-05-31.
-
H. D. Wanzenböck, S. Waid, G. Hobler, S. Lindsey:
"2.5D-Nanoimprint Lithography";
Poster: NIL Industrial Day,
Linz;
2014-03-13
- 2014-03-14.
-
H. Wanzenböck, S. Gergov, E. Auer, S. Harasek, E. Bertagnolli, M. Gritsch, H. Hutter, J. Brenner, H. Störi:
"Reliability of Silicon Oxide deposited by an Focused Ion Beam as Insulator for Microelectronic Interconnect Layer";
Talk: Meeting of the Electrochemical Society (ECS),
Washington D. C., USA;
2001-03-25
- 2001-03-30.
-
H. Wanzenböck, A. Lugstein, S. Harasek, H. Langfischer, E. Bertagnolli:
"Advances in Material Porperties of Focused Ion Beam Deposited Dielectics";
Poster: 4th European FIB User Group Meeting (EFUG),
Dresden, Germany;
2000-10-12.
-
L.C. Whitmore, T. Koch, S. Abermann:
"Transmission Electron Microscopy of Indented ZrO2 Layers on Silicon Substrates";
Poster: 2008 E-MRS Fall Meeting,
Warsaw University of Technology, Poland;
2008-09-15
- 2008-09-19.
-
C. Wiemer, S. Selmo, R. Cecchini, S. Cecchi, M. Fanciulli, E. Rotunno, L. Lazzarini, M. Rigato, D. Pogany, A. Lugstein, M. Longo:
"In-based chalcogenide nanowires for ultra-scalded phase change memory applications";
Talk: International Interconnect Technology and Materials for Advanced Metallization Conference (IITC/MAM),
Dresden, Deutschland;
2017-03-26
- 2017-03-29.
-
K. Winkler, A. Lugstein, E. Bertagnolli:
"Giant and anomalous piezoresistive effcts in Si nanowire";
Talk: MRS Spring Meeting,
San Francisco, USA;
2015-04-06
- 2015-04-10.
-
K. Winkler, A. Lugstein, M. Hetzel, C. Zeiner, J.M. Greil, A. Steiger-Thirsfeld, E. Bertagnolli:
"Epitaxial growth and characterization of lattice mismatched Si nanowire - GaAs hetrostructures";
Talk: International Conference on Electronic Materials,
State Collage, PA, USA;
2012-06-20
- 2012-06-22.
-
S. Winnerl, D. Stehr, O. Drachenko, H. Schneider, M. Helm, W. Seidel, P. Michel, S. Schneider, J. Seidel, S. Grafström, L. Eng, T. Roch, G. Strasser, T. Maier, M. Walther:
"FELBE Free-Electron Laser: Status and Application for Time Resolved Spectroscopy Experiments";
Talk: Joint 31st International Conference on Infrared and Millimeter Waves and 14th International Conference on Terahertz Electronics (IRMMW-THz 2006),
Shanhai, China (invited);
2006-09-18
- 2006-09-22.
-
A. Wirthmüller, B. Schwarz, P. Reininger, M. Rothermund, H. Oppermann, L. Hvozdara, G. Strasser, D. Hofstetter:
"The ICARUS sensing instrument for QCD-assisted human survivor detection in post-disaster areas";
Poster: ITQW,
New York, USA;
2013-09-15
- 2013-09-20.
-
T. Zederbauer, A. M. Andrews, H. Detz, P. Klang, M. Nobile, W. Schrenk, G. Strasser:
"Growth of the InGaAs/GaAsSb Material System for MIR Quantum Cascade Lasers";
Talk: ÖPG-Jahrestagung,
Lausanne, Schweiz;
2011-06-15
- 2011-06-17.
-
T. Zederbauer, A. M. Andrews, D. MacFarland, H. Detz, W. Schrenk, G. Strasser:
"MBE Growth Optimization of GaAsSb";
Talk: Gemeinsame Jahrestagung 2015 der ÖPG, SPS, ÖGA und SSAA in Wien,
Wien;
2015-09-01
- 2015-09-04.
-
T. Zederbauer, M. Brandstetter, M. Krall, C. Deutsch, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser, K. Unterrainer:
"Facet Reflectivity and it´s Impact on Temperature Performance of THz Quantum Cascade Lasers with Double-Metal Waveguides";
Poster: ITQW,
New York, USA;
2013-09-15
- 2013-09-20.
-
T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Improvement of the Interface Quality in MBE grown semiconductor hetero-structures by Predictive Temperature Control";
Talk: MIRTHE-IROn-SensorCAT virtual conference 2012,
Princeton;
2012-06-26
- 2012-06-27.
-
T. Zederbauer, H. Detz, A. M. Andrews, W. Schrenk, G. Strasser:
"Manipulating Interface Quality in MBE grown THz QCLs by Predictive Temperature Control";
Poster: International Quantum Cascade Lasers School & Workshop 2012 (IQCLSW 2012),
Baden;
2012-09-02
- 2012-09-06.
-
T. Zederbauer, H. Detz, C. Deutsch, W. Schrenk, K. Unterrainer, G. Strasser:
"Wachstum von InGaAs/GaAsSb Heterostrukturen für Quantenkaskadenlaser im THz Bereich";
Talk: Deutscher MBE-Workshop 2011,
Berlin, Deutschland;
2011-10-05
- 2011-10-06.
-
T. Zederbauer, D. MacFarland, M. Krall, H. Detz, A. M. Andrews, W. Schrenk, K. Unterrainer, G. Strasser:
"Various Barrier Materials for Terahertz Quantum Cascade Lasers";
Poster: International Quantum Cascade Lasers School & Workshop (IQCLSW 2014),
Bari;
2014-09-07
- 2014-09-14.
-
C. Zeiner, T. Burchhart, A. Lugstein, P. Pongratz, E. Bertagnolli:
"Tuning the Electronic Properties of Germanium Nanowires by Room Temperature Focused Ion Beam Implantation";
Talk: MRS Fall Meeting,
Boston, USA;
2010-11-29
- 2010-12-03.
-
C. Zeiner, A. Lugstein, T. Burchhart, P. Pongratz, J. Connell, L. Lauhon, E. Bertagnolli:
"Tuning the Electronic Properties of Germanium Nanowires by Room Temperature Focused Ion Beam Implantation";
Talk: DPG Tagung,
Berlin, Deutschland;
2012-03-25
- 2012-03-30.
-
C. Zeiner, A. Lugstein, P. Rödiger, M. Mijic, M. den Hertog, A. Prager, S. Defranceschi, E. Bertagnolli:
"Surface doping of Germanium Nanowires";
Talk: European Materials Research Society (EMRS),
Strasbourg, Frankreich;
2013-05-27
- 2013-05-31.
Patents
-
B. Basnar, G. Strasser, M. Austerer, A. M. Andrews:
"Halbleiterlaser";
Patent: Österreich,
No. AT505916B1;
submitted: 2007-12-05,
granted: 2009-05-15.
-
B. Basnar, G. Strasser, M. Austerer, A. M. Andrews:
"Halbleiterlaser";
Patent: Österrreich,
No. AT505915B1;
submitted: 2007-12-05,
granted: 2009-05-15.
-
K. Becker, H. Dodt, N. Jährling:
"Histological Method";
Patent: United States,
No. Us 8,956,827 B2;
submitted: 2011-03-17,
granted: 2015-02-17.
-
K. Becker, H. Dodt, S. Saghafi:
"Lichtbandgenerator";
Patent: Deutschland,
No. De 10 2010 046 133 B4;
submitted: 2010-09-13,
granted: 2014-01-09.
-
K. Becker, S. Saghafi, H. Dodt:
"Optische Vorrichtung";
Patent: Österreich,
No. A51113/2020;
submitted: 2020-12-17.
-
H. Dodt, S. Saghafi:
"Light-sheet microscopy using meso-optical elements";
Patent: United States,
No. Us 10,088,657 B2;
submitted: 2015-06-10,
granted: 2018-10-02.
-
H. Dodt, S. Saghafi:
"Modular microscope objective for immersion medium";
Patent: Internationale Zusammenarbeit,
No. Wo 2015/010783 Ai;
submitted: 2014-07-22,
granted: 2015-01-29.
-
G. Hobler, M. Mastrapasqua, M.R. Pinto, E. Sangiorgi:
"Monolithically integrated static random access memory device";
Patent: USA,
No. 6144073;
submitted: 2000-11-01,
granted: 2000-11-07.
-
B. Schwarz, J. Hillbrand, G. Strasser:
"Laser assembly, spectrometer and method for operating a laser";
Patent: Deutschland,
No. 18188432.1;
submitted: 2018-08-10.
-
H. D. Wanzenböck, S. Waid, E. Bertagnolli:
"Process For Producing Three-Dimensional Structures";
Patent: Österreich,
No. At511241 (a1);
submitted: 2011-04-14,
granted: 2012-10-15.
Habilitation Theses
-
A. M. Andrews:
"Growth of Intersubband Optoelectronic Devices";
TU Wien Fakultät für Elektrotechnik und Informationstechnik,
2019.
-
A. Lugstein:
"Technology and Process Integration of Nanoscale Electronic and Sensor Devices";
TU Wien Fakultät für Elektrotechnik und Informationstechnik,
2012.
-
B. Schwarz:
"Towards chip integrated mid-infrared spectrometers";
TU Wien, Fakultät für Elektrotechnik und Informationstechnik,
2021.
-
G. Strasser:
"Quantum Cascade Semiconductor Laser";
TU Wien/Fakultät für Elektrotechnik und Informationstechnik,
2001.
-
H. D. Wanzenböck:
"3D Micro- and Nanotechnology for Microelectronics and Beyond";
TU Wien Fakultät für Elektrotechnik und Informationstechnik,
2017.
-
H. D. Wanzenböck:
"Contributions to 3D Micro- and Nanotechnology";
Fakultät für Elektrotechnik und Informationstechnik,
2016.
Doctor's Theses (authored and supervised)
-
S. Abermann:
"High-k/metal-gate devices for future CMOS technology,";
Supervisor, Reviewer: E. Bertagnolli, Ch. Teichert;
Institut für Festkörperelektronik,
2007;
oral examination: 2007-06-21.
-
S. Ahn:
"Mid-infrared quantum cascade lasers: Asymmetric cavity engineering";
Supervisor, Reviewer: G. Strasser, M. Helm;
E362,
2014;
oral examination: 2014-04-25.
-
A. Alexewicz:
"GaN-based heterostructures for normally-off high electron mobility transistors";
Supervisor, Reviewer: G. Strasser, S. Delage;
E362,
2014;
oral examination: 2014-04-25.
-
M. Austerer:
"Nonlinear Light Generation in GaAs Quantum Cascade Lasers";
Supervisor, Reviewer: G. Strasser, G.A. Reider;
Institut für Festkörperelektronik,
2008;
oral examination: 2008-07-03.
-
A. Avdic:
"High Resolution Multichannel Scanning Probes for Combined AFM, SECM and ECS-AFM Imaging and Local Gas-Pressure Determination";
Supervisor, Reviewer: E. Bertagnolli, B. Gollas;
E362,
2013;
oral examination: 2013-03-15.
-
T. Ayalew:
"SiC Semiconductor Devices Technology, Modeling and Simulation";
Supervisor, Reviewer: S. Selberherr, E. Bertagnolli;
Institut für Mikroelektronik,
2004;
oral examination: 2004-02-26.
More information
-
D. Bachmann:
"Broadband terahertz quantum cascade lasers: Time-resolved spectroscopy, pulse generation and amplification";
Supervisor, Reviewer: K. Unterrainer, A. Tredicucci, G. Strasser;
E387,
2017;
oral examination: 2017-01-20.
-
M. Bartmann:
"Germanium Nanowires for Bolometric Sensors";
Supervisor, Reviewer: J. Smoliner, A. Lugstein, R. Rurali;
E362,
2020;
oral examination: 2020-11-04.
-
O. Baumgartner:
"Numerical Modeling of Multilayer Semiconductor Devices";
Supervisor, Reviewer: H. Kosina, W. Weber, C. Sampedro;
Institut für Mikroelektronik,
2020;
oral examination: 2020-03-05.
-
A. Benz:
"Terahertz Quantum-Cascade Lasers: Carrier Transport and Photonic Crystal Cavities";
Supervisor, Reviewer: K. Unterrainer, G. Bauer;
Institut für Photonik,
2009;
oral examination: 2009-12-15.
-
O. Bethge:
"Atomic Layer Deposition of High-k Transition Metal Oxides on (100)-Germanium and (100)-Silicon for Nanoscled MOSFET Devices";
Supervisor, Reviewer: E. Bertagnolli, A. Köck;
E362,
2010;
oral examination: 2010-09-01.
-
M. Blaho:
"Experimental Characterisation of Smart Power Technology Devices Stressed by High Energy Pulses";
Supervisor, Reviewer: E. Gornik, E. Langer;
Institut für Festkörperelektronik,
2005;
oral examination: 2005-10-03.
-
W. Boxleitner:
"Bandstruktur und ballistischer Transport in Halbleiter-Heterostrukturen";
Supervisor, Reviewer: E. Gornik;
E 362,
1997.
-
H. Brand:
"Thermoelektrizität und Hydrodynamik";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1994;
oral examination: 1994-05-26.
-
R. Bratschitsch:
"Time resolved THz-spectroscopy of Semiconductor Nano-structures";
Supervisor, Reviewer: K. Unterrainer;
Institut für Festkörperelektronik,
2001.
-
H. Brech:
"Optimization of GaAs Based High Electron Mobility Transistors by Numerical Simulation";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1998;
oral examination: 1998-04-03.
-
W. Brezna:
"Scanning Capacitance Microscopy/Spectroscopy on Semiconductors and Related Materials";
Supervisor, Reviewer: J. Smoliner, G. Friedbacher;
Institut für Festkörperelektronik,
2005.
-
T. Burchhart:
"Synthesis and investigation of gallium-implanted, omega-gated germanium nanowire Schottky barrier MOSFETs with self-aligned, atomically sharp Cu3Ge contacts";
Supervisor, Reviewer: E. Bertagnolli, H. Brückl;
E362,
2011;
oral examination: 2011-01-18.
-
M. Capriotti:
"GaN-based E-mode Metal Insulator Heterostructure Field Effect Transistor";
Supervisor, Reviewer: G. Strasser, G. Meneghesso;
E362,
2015;
oral examination: 2015-10-21.
-
G. Cataldo Mutinati:
"3D-CMOS Integrable Gas Sensor Device Based on Nanocrystalline Tin Dioxide Films";
Supervisor, Reviewer: E. Bertagnolli, A. Köck;
E362,
2013;
oral examination: 2013-11-22.
-
M. Coquelin:
"Resonant plasmon and subband excitation in heterostructures";
Supervisor, Reviewer: E. Gornik, K. Unterrainer;
Institut für Festkörperelektronik,
2008;
oral examination: 2008-07-22.
-
C.G. Derntl:
"Spectral Gain Dynamics of Terahertz Quantum Cascade Lasers";
Supervisor, Reviewer: K. Unterrainer, S. Barbieri, G. Strasser;
E387,
2021;
oral examination: 2021-09-22.
-
A. Dervic:
"Circuits for optical receivers near the quantum limit";
Supervisor, Reviewer: H. Zimmermann, A. Lugstein, N. Tadic;
E354,
2021;
oral examination: 2021-03-19.
-
H. Detz:
"Epitaxy of InGaAs/GaAsSb Heterostructures for Intersubband Optoelectronics";
Supervisor, Reviewer: G. Strasser, R. Colombelli;
E362,
2011;
oral examination: 2011-05-30.
-
R. Deutschmann:
"Entwicklung eines physikalischen HFET-Modells: Parameterextraktion und Verifikation";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1995;
oral examination: 1995-10-10.
-
V. Dubec:
"Advanced optical interferometric methods for nanosecond mapping of semiconductor devices under high energy pulses";
Supervisor, Reviewer: E. Gornik, G.A. Reider;
Institut für Festkörperelektronik,
2005.
-
C. Ebm:
"Simulation of ion beam induced etching and deposition";
Supervisor, Reviewer: G. Hobler, J. Melngailis;
E362,
2010;
oral examination: 2010-09-17.
-
C. Eckhardt:
"Analytische Untersuchung mit computerunterstützten Simulationsmodellen auf modernen Halbleitermaterialien im Nanobereich";
Supervisor, Reviewer: J. Smoliner, P. Pongratz;
E384,
2011;
oral examination: 2011-02-14.
-
C. Eder:
"Rastertunnelmikroskopie an Halbleiter-Nanostrukturen";
Supervisor, Reviewer: E. Gornik;
E 362,
1997.
-
G. Ehrentraut:
"Entwicklung und Modellierung von magnetfeldunterstützten reaktiven Ionen-Ätzprozessen (MERIE) an einem Parallelplattenreaktor zur Erzeugung von ausgedehnten Grabenstrukturen für vertikale Silizium-Leistungstransistoren mittels statistischem Experimente-Design";
Supervisor, Reviewer: E. Bertagnolli, U. Schmid;
E362,
2014;
oral examination: 2014-06-26.
-
R.M. Escadas Ramos Martins:
"On the Design of Very Low Power Integrated Circuits";
Supervisor, Reviewer: S. Selberherr, E. Bertagnolli;
Institut für Mikroelektronik,
1999;
oral examination: 1999-02-25.
-
C. Fabian:
"Multi-electron dynamics in matter exposed to strong laser fields";
Supervisor, Reviewer: T. Brabec, K. Unterrainer;
Institut für Photonik,
2003.
-
G. Fasching:
"Microcavity terahertz quantum-cascade lasers and single quantum dot microdevices";
Supervisor, Reviewer: K. Unterrainer, E. Gornik;
Institut für Photonik,
2006;
oral examination: 2006-07-18.
-
N. Finger:
"Grating-Coupled Semiconductor Structures";
Supervisor, Reviewer: E. Gornik;
Institut für Festkörperelektronik,
2000.
-
C. Fleury:
"Behavior of silicon and gallium nitride devices under electrical overstress conditions";
Supervisor, Reviewer: D. Pogany, M. Bafleur, G. Meneghesso;
E362,
2018;
oral examination: 2018-01-25.
-
W. Friza:
"Plasmaätzen von Siliziumgräben mit extrem hohen Aspektverhältnissen: Grundlagen, Effekte, grundlegende Untersuchungen";
Supervisor, Reviewer: W. Fallmann, E. Bertagnolli;
Institut für Sensor- und Aktuatorsysteme,
2006;
oral examination: 2006-07-05.
-
C. Fürböck:
"Characterization of Semiconductor Power Devices: Laser Interferometry and Failure Analysis";
Supervisor, Reviewer: E. Gornik;
Institut für Festkörperelektronik,
2001.
-
R. Gansch:
"Photonic Crystals as Resonant Cavities for Photodetectors";
Supervisor, Reviewer: G. Strasser, F.H. Julien;
E362,
2014;
oral examination: 2014-11-04.
-
M. Gavagnin:
"Direct writing of ferromagnetic materials by focused electron beam: a novel nanofabrication access for 3-dimensional nanomagnet logic";
Supervisor, Reviewer: E. Bertagnolli, J. Fidler;
E362,
2014;
oral examination: 2014-06-24.
-
A. Gehring:
"Simulation of Tunneling in Semiconductor Devices";
Supervisor, Reviewer: S. Selberherr, E. Bertagnolli;
Institut für Mikroelektronik,
2003;
oral examination: 2003-12-05.
-
M. Geissler:
"Interaction of Highpower Ultrashort Laser Pulses with Plasmas";
Supervisor, Reviewer: T. Brabec, K. Unterrainer;
Insitut für Photonik,
2001.
-
J Genser:
"Synthesis and Strain Engineering of 2D Dirac Materials";
Supervisor, Reviewer: A. Lugstein, J. Smoliner, R. Rurali;
E362,
2021;
oral examination: 2021-06-22.
-
S. Gianordoli:
"Quantum cascade lasers on AlGaAs-GaAs for the mid-infrared regime";
Supervisor, Reviewer: E. Gornik;
E 362,
2000.
-
M. Glaser:
"III-V / Silicon Nanowire Heterostructures: Exploration of a Novel Processing Approach, Characterization and Implementation in Nanoscale Optoelectronic Devices";
Supervisor, Reviewer: A. Lugstein, C. Ronning;
E362,
2016;
oral examination: 2016-01-26.
-
S. Glassner:
"Tuning the optical properties of silicon nanowires by ultra-high strain and plasmonic coupling";
Supervisor, Reviewer: A. Lugstein, E. Bertagnolli, R. Rurali;
E362,
2018;
oral examination: 2018-11-27.
-
B. Goebel:
"Vertical N-Channel MOSFETs for Extremely High Density Integration";
Supervisor, Reviewer: E. Bertagnolli;
Institut für Festkörperelektronik,
2001.
-
S. Golka:
"Dry-etched intersubband devices for near- and mid-infrared optoelectronics";
Supervisor, Reviewer: G. Strasser, K. Unterrainer;
Institut für Festkörperelektronik,
2006;
oral examination: 2006-09-15.
-
B. Goll:
"Clocked, Regenerative Comparators in Deep-Sub-Micron CMOS";
Supervisor, Reviewer: H. Zimmermann, E. Bertagnolli;
Institut für Elektrische Mess- und Schaltungstechnik,
2007;
oral examination: 2007-11-12.
-
A. Golshani:
"Vabrication of Steerable Single Mode Surface Emitting Laser Diodes based on Surface Mode Emission";
Supervisor, Reviewer: E. Gornik;
E 362,
1997.
-
T. Grasser:
"Mixed-Mode Device Simulation";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1999;
oral examination: 1999-05-21.
More information
-
J.M. Greil:
"Tuning the electrical and optical properties of VLS grown Germanium nanowires by strain";
Supervisor, Reviewer: E. Bertagnolli, T. Baron;
E362,
2014;
oral examination: 2014-02-19.
-
A. Grill:
"Charge Trapping and Single-Defect Extraction in Gallium-Nitride Based MIS-HEMTs";
Supervisor, Reviewer: T. Grasser, G. Meneghesso, D. Pogany;
Institut für Mikroelektronik,
2018;
oral examination: 2018-10-22.
-
M. Gritsch:
"Numerical Modeling of Silicon-on-Insulator MOSFETs";
Supervisor, Reviewer: H. Kosina, E. Gornik;
Institut für Mikroelektronik,
2002;
oral examination: 2002-12-20.
More information
-
P. Habas:
"Analysis of Physical Effects in Small Silicon MOS Devices";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1993;
oral examination: 1993-11-17.
-
M. Hackel:
"Transport und Injektion von Ladungsträgern in MOS-Strukturen mit der Monte-Carlo Methode";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1995;
oral examination: 1995-10-10.
More information
-
S. Harasek:
"Zirkoniumdioxid-Dünnfilme als hoch- e Gateisolatoren für die Siliziumtechnologie";
Supervisor, Reviewer: E. Bertagnolli, G. Fafilek;
Institut für Festkörperelektronik,
2003.
-
A. Harrer:
"Quantum Cascade Intersubband Devices for Mid-Infrared Sensing";
Supervisor, Reviewer: G. Strasser, S. Höfling, T. Fromherz;
E362,
2017;
oral examination: 2017-05-31.
-
M. Heer:
"Semiconductor devices and integrated circuits under short electrical stress";
Supervisor, Reviewer: D. Pogany, M. Bafleur;
E362,
2012;
oral examination: 2012-02-01.
-
R. Heer:
"Ballistic Electron Emission Spectroscopy on Buried Semiconductor Heterostructures";
Supervisor, Reviewer: J. Smoliner, H. Hauser;
E 362,
2000.
-
C. Henkel:
"Atomic Layer Deposited High-k Metal Oxides for Schottky-Barrier MOSFET Devices on (100)-Germanium and (100)-Silicon Substrates";
Supervisor, Reviewer: E. Bertagnolli, C. Eisenmenger-Sittner;
E362,
2010;
oral examination: 2010-12-07.
-
J. Hillbrand:
"Microwave photonics using semiconductor laser frequency combs";
Supervisor, Reviewer: G. Strasser, J. Faist, K. Unterrainer;
E362,
2020;
oral examination: 2020-07-10.
-
G. Hobler:
"Simulation der Ionenimplantation in ein-, zwei- und dreidimensionalen Strukturen";
Supervisor, Reviewer: S. Selberherr, W. Fallmann;
Institut für Mikroelektronik,
1988;
oral examination: 1988-11-23.
-
G. Hochleitner:
"Investigation of electron-beam-induced chemical vapor deposition of iron and gold nanostructures in a low pressure chamber of a differentially pumped scanning electron microscope";
Supervisor, Reviewer: E. Bertagnolli, C. Eisenmenger-Sittner;
E362,
2011;
oral examination: 2011-06-30.
-
L. Hoffmann:
"Coherent coupling of mid-infrared quantum cascade lasers";
Supervisor, Reviewer: G. Strasser, G.A. Reider;
Institut für Festkörperelektronik,
2009;
oral examination: 2009-03-03.
-
M. Holzbauer:
"Quantum Cascade Interband and Intersubband Ring Lasers";
Supervisor, Reviewer: K. Unterrainer, G. Strasser;
E362,
2018;
oral examination: 2018-06-14.
-
I. Humer:
"Material Characterization and Dopant Profiling in Scanning Microwave Microscopy";
Supervisor, Reviewer: J. Smoliner, G. Friedbacher;
E362,
2012;
oral examination: 2012-05-23.
-
L. Hvozdara:
"Unipolar GaAs/AlGaAs MID-Infrared Lasers for Spectroscopic Applications";
Supervisor, Reviewer: E. Gornik;
E 362,
2000.
-
Y.J. Hyun:
"Synthesis of Silicon Nanowires and Characterization using TEM Methods";
Supervisor, Reviewer: E. Bertagnolli, P. Pongratz;
Institut für Festkörperelektronik,
2009;
oral examination: 2009-07-08.
-
V. Iakovlev:
"Extremely short light pulses: generation, diagnostics and applications";
Supervisor, Reviewer: A. Scrinzi, K. Unterrainer;
Institut für Photonik,
2003.
-
N. Jährling:
"Applications of ultramicroscopy th neurobiology and methodological improvements";
Supervisor, Reviewer: R. Weiler, H. Dodt;
Universität Oldenburg,
2011;
oral examination: 2011-11-30.
-
G. Kaiblinger-Grujin:
"Physikalische Modellierung und Monte-Carlo-Simulation der Elektronenbeweglichkeit in Silizium";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1997;
oral examination: 1998-03-13.
-
S. Kalchmair:
"Photonic and electromagnetic crystal structures in intersubband photodetectors";
Supervisor, Reviewer: G. Strasser, H. Schneider;
E362,
2012;
oral examination: 2012-06-29.
-
M. Kampl:
"Investigating Hot-Carrier Effects using the Backward Monte Carlo Method";
Supervisor, Reviewer: H. Kosina, A. Garcia Loureiro, G. Hobler;
Institut für Mikroelektronik,
2019;
oral examination: 2019-04-05.
More information
-
H Karaca:
"Experimental and TCAD Analysis of Behaviour of Multifinger Devices with Substrate Coupling and of Filamentary States in SCRs for ESD Protection";
Supervisor, Reviewer: D. Pogany, N. Nolhier, G. Meneghesso;
e362,
2021;
oral examination: 2021-07-23.
-
M. Kast:
"High-Resolution Hot-Electron Spectroscopy";
Supervisor, Reviewer: E. Gornik, W. Fallmann;
Institut für Festkörperelektronik,
2003.
-
J. Kaur:
"Solar cells with electrodeposited cuprous oxide absorber and atomic layer deposited zinc-magnesium-oxide buffer";
Supervisor, Reviewer: E. Bertagnolli, A. Köck, G. Trimmel;
E362,
2018;
oral examination: 2018-07-11.
-
P.O. Kellermann:
"Entwicklung von Halbleiterlasern mit postepitaktischer Adjustierung der Wellenlänge";
Supervisor, Reviewer: E. Gornik;
Institut für Festkörperelektronik,
2001.
-
H. Keshmiri:
"Loss mitigation in binary-sequenced plasmonic waveguides";
Supervisor, Reviewer: G. Strasser, A. Lugstein;
E362,
2017;
oral examination: 2017-10-18.
-
I. Khan:
"Light Management in Organic Photovoltaic Solar Cells";
Supervisor, Reviewer: G. Strasser, M. Scharber;
E362,
2017;
oral examination: 2017-12-12.
-
H. Kim:
"Design, Simulation and Fabrication of Micro/Nano Functional Structures Using ION Beams";
Supervisor, Reviewer: G. Hobler, H. Kosina;
Institut für Festkörperelektronik,
2007;
oral examination: 2007-04-20.
-
M. Knaipp:
"Modellierung von Temperatureinflüssen in Halbleiterbauelementen";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1998;
oral examination: 1998-10-21.
-
C. Köpf:
"Modellierung des Elektronentransports in Verbindungshalbleiterlegierungen";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1997;
oral examination: 1997-12-16.
More information
-
C Koller:
"The Role of Carbon in Creating Insulating Behavior in GaN-on-Si Buffers: A Physical Model";
Supervisor, Reviewer: D. Pogany, T. Grasser, T. Uren;
Institut für Festkörperelektronik,
2019;
oral examination: 2019-01-22.
-
H. Kosina:
"Simulation des Ladungstransportes in elektronischen Bauelementen mit Hilfe der Monte-Carlo-Methode";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1992;
oral examination: 1992-06-09.
More information
-
D. Kovac:
"Multiscale Modeling of Ion Implantation Damage in Silicon";
Supervisor, Reviewer: G. Hobler, L. Palmetshofer;
Institut für Festkörperelektronik,
2007;
oral examination: 2007-04-24.
-
J. Kröll:
"High performance terahertz time-domain spectroscopy: Application, control and amplification of few cycle terahertz radiation";
Supervisor, Reviewer: K. Unterrainer, J. Smoliner;
Institut für Photonik,
2007;
oral examination: 2007-04-24.
-
P. Lagger:
"Physics and Characterization ofthe Gate Stack in Gallium Nitride based MIS-HEMTs";
Supervisor, Reviewer: D. Pogany, P. Hadley;
E362,
2014;
oral examination: 2014-09-16.
-
S. Lancaster:
"Growth and characterisation of III-V nanowire heterostructures integrated on Si";
Supervisor, Reviewer: G. Strasser, L.R. Wilson, A. Lugstein;
E362,
2019;
oral examination: 2019-04-17.
-
R. Langegger:
"Advanced Nanopattern Formation b FIB Induced Self Organized Processes";
Supervisor, Reviewer: E. Bertagnolli, F. Aumayr;
E362,
2014;
oral examination: 2014-03-20.
-
H. Langfischer:
"Focused Ion Beam basierte Metallisierung für sub-10nm-Bauelemente";
Supervisor, Reviewer: E. Bertagnolli, W. Fallmann;
Institut für Festkörperelektronik,
2003.
-
J. Leeb:
"DVD-OEIC´s with Two-Stage Amplifiers";
Supervisor, Reviewer: H. Zimmermann, E. Bertagnolli;
Institut für Elektrische Mess- und Schaltungstechnik,
2005.
-
M. Lenner:
"Interaction of Intense Femtosecond Laser Pulses with Absorbing Materials";
Supervisor, Reviewer: C. Spielmann, G. Strasser;
Institut für Photonik,
2004.
-
S. Lindsey:
"Computer Simulation of FIB Sputtering";
Supervisor, Reviewer: G. Hobler, P. Pichler;
E362,
2015;
oral examination: 2015-03-17.
-
M. Litzenberger:
"Investigation of Internal Behavior in CMOS ESD Protection Devices under High Current Stress";
Supervisor, Reviewer: E. Gornik, G.A. Reider;
Institut für Festkörperelektronik,
2003.
-
B. Lutzer:
"Schottky Barrier MOSFETs with Atomic Layer Grown High-K Oxides and Ultrathin Metal-Interlayers on Silicon (111)";
Supervisor, Reviewer: E. Bertagnolli, C. Eisenmenger-Sittner;
E362,
2016;
oral examination: 2016-10-18.
-
M. Madl:
"Scanning Probe Methods for Electrooptical Studies on Semiconductor Nanostructures";
Supervisor, Reviewer: J. Smoliner, C. Eisenmenger-Stittner;
E362,
2013;
oral examination: 2013-06-21.
-
T. Maier:
"Integration von oberflächenemittierenden Laserdioden und resonanten Photodetektoren für interferometrische Messungen";
Supervisor, Reviewer: E. Gornik;
E 362,
2000.
-
W. Mamanee:
"Investigation of current filament behavior in smart power technology ESD protection devices subjected to long ESD-like pulses";
Supervisor, Reviewer: D. Pogany, N. Nolhier;
E362,
2012;
oral examination: 2012-03-30.
-
J. Mika:
"Universelle mikroelektronische Messplattform zur in vitro Untersuchung von Aktionspotentialen von sich regenerierenden Neuriten unter Einfluss des Neurotrophins "Nerve Growth Factor b"";
Supervisor, Reviewer: E. Bertagnolli, P. Scholze;
E362,
2015;
oral examination: 2015-07-21.
-
W. Molnar:
"Sub-eutectic synthesis of epitaxial Si-nanowires using octachlorotrisilane as precursor";
Supervisor, Reviewer: E. Bertagnolli, R. Haubner;
E362,
2015;
oral examination: 2015-01-21.
-
H. Moser:
"Development and implementation of an industrial process gas monitoring system for H2S based on mid-infrared quantum cascade laser spectroscopy";
Supervisor, Reviewer: B. Lendl, G. Strasser;
Institut für chemische Technologien und Analytik,
2016;
oral examination: 2016-12-13.
More information
-
T. Müller:
"Ultrafast Terahertz Response of Optically Excited Quantum Semiconductor Structures";
Supervisor, Reviewer: K. Unterrainer, F. Krausz;
Institut für Festkörperelektronik,
2004.
-
E. Mujagic:
"Ring Cavity Surface Emitting Semiconductor Lasers";
Supervisor, Reviewer: G. Strasser, J. Faist;
E362,
2010;
oral examination: 2010-04-08.
-
D Nazzari:
"Growth of 2D silicon structures by molecular beam epitaxy";
Supervisor, Reviewer: A. Lugstein, H. Zandvliet, W. Weber;
E362,
2021;
oral examination: 2021-02-25.
-
A. Nemecek:
"Integrated Optical Distance Measurement Sensors Based on Advanced PIN-Photodiode Technology";
Supervisor, Reviewer: H. Zimmermann, E. Bertagnolli;
Institut für Elektrische Mess- und Schaltungstechnik,
2006;
oral examination: 2006-09-15.
-
M. Nobile:
"Intersubband devices based on the InGaAs/GaAsSb heterostructure operating in the midinfrared";
Supervisor, Reviewer: G. Strasser, C. Sirtori;
E362,
2011;
oral examination: 2011-06-28.
-
S. Özcan:
"Ballistic Electron Emission Microscopy/Spectroscopy on Metal-Organic-Semiconductor Heterostructures";
Supervisor, Reviewer: J. Smoliner, K. Schwarz;
Institut für Festkörperelektronik,
2008;
oral examination: 2008-04-05.
-
C. Ostermaier:
"Ultra-Thin InAIN/AIN Barrier Enhancement-Mode High Electron Mobility Transistors";
Supervisor, Reviewer: G. Strasser, N. Grandjean;
E362,
2011;
oral examination: 2011-02-03.
-
G. Otto:
"Multi-method Simulations and Transmission Electron Microscope Investigations of Ion Implantation Damage in Silicon";
Supervisor, Reviewer: G. Hobler, P. Pongratz;
Institut für Festkörperelektronik,
2005.
-
K. O´Keeffe:
"Control of atomic photoionization with the carrier envelope phase of few-cycle laser pulses";
Supervisor, Reviewer: M. Lezius, G. Strasser;
Institut für Photonik,
2005.
-
C. Pacher:
"Engineering of Electronic Properties of Finite Periodic Quantum Structures";
Supervisor, Reviewer: E. Gornik, R. Dirl;
Institut für Festkörperelektronik,
2007;
oral examination: 2007-06-06.
-
V Padovan:
"Characterization of hot electron degradation in GaN based HEMTs";
Supervisor, Reviewer: D. Pogany, P. Hadley, M. Tapajna;
e362,
2021;
oral examination: 2021-08-19.
-
V. Palankovski:
"Simulation of Heterojunction Bipolar Transistors";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
2000;
oral examination: 2000-12-12.
More information
-
J.M. Park:
"Novel Power Devices for Smart Power Applications";
Supervisor, Reviewer: S. Selberherr, E. Bertagnolli;
Institut für Mikroelektronik,
2004;
oral examination: 2004-12-07.
More information
-
M. Pende:
"Large-scale light-sheet imaging in combination with versatile clearing and labeling methods provides systemic and high-resolution insight into whole animal nervous systems";
Supervisor, Reviewer: H. Dodt, W. Weninger, H. D. Wanzenböck;
E362,
2020;
oral examination: 2020-08-04.
-
P. Pertl:
"Nucleation and Growth of Germanium Nanostructures using Unusual Metal Seeds";
Supervisor, Reviewer: S. Barth, A. Lugstein;
Institut für Materialchemie E-165,
2017;
oral examination: 2017-12-11.
More information
-
C. Pflügl:
"Quantum Design of mid-infrared semiconductor lasers";
Supervisor, Reviewer: G. Strasser, K. Unterrainer;
Inst. f. Festkörperelektronik,
2005.
-
G. Ploner:
"Quantum Wires - An Investigation of Fabrication and Characterization Techniques";
Supervisor, Reviewer: E. Gornik;
E 362,
1999.
-
A. Podgaynaya:
"Improvement of Safe Operating Area of Power DMOS Transistors";
Supervisor, Reviewer: E. Gornik, F. Schäffler;
E362,
2010;
oral examination: 2010-10-28.
-
A. Pospischil:
"Generation and detection of light in two-dimensional materials";
Supervisor, Reviewer: K. Unterrainer, R. Bratschitsch;
E387,
2016;
oral examination: 2016-01-25.
-
M. Pourfath:
"Numeric Study of Quantum Transport in Carbon Nanotube-Based Transistors";
Supervisor, Reviewer: H. Kosina, E. Bertagnolli;
Institut für Mikroelektronik,
2007;
oral examination: 2007-07-03.
-
G. Pozzovivo:
"InAlN/GaN Heterostructure High Electron Mobility Transistors: Technology, Properties, and Characterization";
Supervisor, Reviewer: G. Strasser, F.H. Julien;
Institut für Festkörperelektronik,
2009;
oral examination: 2009-05-15.
-
R. Quay:
"Analysis and Simulation of High Electron Mobility Transistors";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
2001;
oral examination: 2001-09-18.
More information
-
D. Rakoczy:
"Ballistic Electron Emission Microscopy/Spectroscopy on III-V Semiconductor Heterostructures";
Supervisor, Reviewer: J. Smoliner, M. Krammer;
Institut für Festkörperelektronik,
2004.
-
C. Rauch:
"Ballistic Electron Spectroscopy of Semiconductor Heterostructures";
Supervisor, Reviewer: E. Gornik;
E 362,
1998.
-
P. Reininger:
"Quantum Cascade Photodetectors: Combining Optical and Electronic Engineering";
Supervisor, Reviewer: G. Strasser, J. Faist;
E362,
2015;
oral examination: 2015-09-07.
-
M. Rigato:
"ESD experiments and simulations on RF CMOS switches";
Supervisor, Reviewer: D. Pogany, G. Meneghesso, G Groeseneken;
E362,
2018;
oral examination: 2018-10-22.
-
D. Ristanic:
"Optoelectronics Integration: On-Chip Infrared Sensors";
Supervisor, Reviewer: G. Strasser, T. Fromherz;
E362,
2015;
oral examination: 2015-05-27.
-
V Ritter:
"Synthesis and Passivation of Silicene";
Supervisor, Reviewer: E. Bertagnolli, W. Weber, M. Kolibal;
E362,
2020;
oral examination: 2020-03-03.
-
R. Rodriguez-Torres:
"Three-Dimensional Simulation of Split-Drain MAGFETs";
Supervisor, Reviewer: S. Selberherr, E. Bertagnolli;
Institut für Mikroelektronik,
2003;
oral examination: 2003-05-13.
More information
-
P. Rödiger:
"A non-destructive, direct-write focused electron beam induced etching process for semiconductors featuring nanometer precision";
Supervisor, Reviewer: E. Bertagnolli, C. Eisenmenger-Sittner;
E362,
2011;
oral examination: 2011-05-03.
-
M. Rottinger:
"Selected Simulations of Semiconductor Structures";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1999;
oral examination: 1999-06-25.
-
S. Schartner:
"Optical Cavities for Mid-Infrared Devices";
Supervisor, Reviewer: G. Strasser, K. Unterrainer;
Institut für Festkörperelektronik,
2009;
oral examination: 2009-03-04.
-
K. Schneider:
"Burst-Mode Receivers for Passive Optical Networks";
Supervisor, Reviewer: H. Zimmermann, E. Bertagnolli;
Institut für Elektrische Mess- und Schaltungstechnik,
2004.
-
C. Schöndorfer:
"Focused Ion Beam induces Synthesis of Nanowires";
Supervisor, Reviewer: E. Bertagnolli, C. Eisenmenger-Sittner;
Institut für Festkörperelektronik,
2008;
oral examination: 2008-06-25.
-
M. Schramböck:
"Growth and processing of III-V semiconductor nanostructures";
Supervisor, Reviewer: G. Strasser, K. Unterrainer;
Institut für Festkörperelektronik,
2008;
oral examination: 2008-05-08.
-
W. Schrenk:
"Distributed Feedback Quantum Cascade Lasers";
Supervisor, Reviewer: E. Gornik;
Institut für Festkörperelektronik,
2001.
-
F. Schrey:
"High Resolution und Ultrafast Time-Domain Optical Spectroscopy of Self-Assembled Indium Arsenide Quantum Dots";
Supervisor, Reviewer: K. Unterrainer, A. Scrinzi;
Institut für Photonik,
2006;
oral examination: 2006-06-19.
-
G. Schrom:
"Ultra-Low-Power CMOS Technology";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1998;
oral examination: 1998-07-07.
-
P. Schuller:
"An integrated cell barrier model on a chip using microelectrodes on thin porous substrates";
Supervisor, Reviewer: H. D. Wanzenböck, P. Ertl, E. Bertagnolli;
E362,
2019;
oral examination: 2019-09-17.
-
B. Schwarz:
"Monolithic integration of mid-infrared photonics";
Supervisor, Reviewer: G. Strasser, B. Mizaikoff;
E362,
2015;
oral examination: 2015-11-27.
-
C. Schwarzer:
"Advanced Quantum Cascade Ring Lasers";
Supervisor, Reviewer: G. Strasser, B. Lendl;
E362,
2014;
oral examination: 2014-01-27.
-
A. Schwarzhans:
"ALPS (Advanced Lens Polishing System) Konzeptstudie zur deterministischen Fertigung von Bauteilen in optischer Qualität";
Supervisor, Reviewer: A. Köck, J. Smoliner;
Institut für Festkörperelektronik,
2005.
-
M. Seifner:
"Synthesis and Characterisation of One-Dimensional Ge-based Nanostruktures with Metastable Composition";
Supervisor, Reviewer: S. Barth, A. Lugstein, J. Fleig;
Institut für Materialchemie,
2019.
More information
-
N. Seliger:
"Characterization of Semiconductor Devices by Laser Interferometry";
Supervisor, Reviewer: E. Gornik;
E 362,
1998.
-
S. Senkader:
"Physical Modelling and Simulation of Oxygen Precipitation in Silicon";
Supervisor, Reviewer: E. Gornik;
E 362,
1996.
-
M. Shawrav:
"Additive and subtractive direct writing of nanodevices: A high purity approach for gold and germanium using focused electron beam";
Supervisor, Reviewer: E. Bertagnolli, J. De Teresa;
E362,
2015;
oral examination: 2015-08-28.
-
J. Silvano de Sousa:
"Spin Dependent Electron Transport in InGaAs/GaAsSb Heterostructures";
Supervisor, Reviewer: J. Smoliner, U. Hohenester;
E362,
2012;
oral examination: 2012-02-29.
-
M. Sistani:
"Transport in ultra-scaled Ge quantum dots embedded in Al-Ge-Al nanowire heterostructures";
Supervisor, Reviewer: A. Lugstein, J. Smoliner, M. den Hertog;
E362,
2019;
oral examination: 2019-11-12.
-
S. Smirnov:
"Physical Modeling of Electron Transport in Strained Silicon and Silicon-Germanium";
Supervisor, Reviewer: H. Kosina, K. Unterrainer;
Institut für Mikroelektronik,
2003;
oral examination: 2003-12-17.
-
B. Steindl:
"Einzelphotonen-Lawinendioden für integrierbare optische Empfänger";
Supervisor, Reviewer: H. Zimmermann, M. Gröschl, A. Lugstein;
E354,
2020;
oral examination: 2020-02-10.
-
S. Steinhauer:
"Gas Sensing Properties of metal oxide nanowires and their CMOS integrations";
Supervisor, Reviewer: J. Smoliner, A. Köck;
Festkörperelektronik,
2014.
-
G. Steinlesberger:
"Scaling Limits of Copper Damascene Lines for Future Interconnection Technology";
Supervisor, Reviewer: E. Bertagnolli, W. Fallmann;
Institut für Festkörperelektronik,
2003.
-
M. Steinmair:
"Synthesis and electrical characterization of silicon nanowires";
Supervisor, Reviewer: E. Bertagnolli, C. Eisenmenger-Sittner;
Institut für Festkörperelektronik,
2008;
oral examination: 2008-11-14.
-
D. Sticker:
"Development of thiol-ene epoxy thermoset microdevices with automated fluid handling and nanolayer passivated microstructured impedimentric sensor for in vitro cell-based assays";
Supervisor, Reviewer: E. Bertagnolli, D. Pum;
E362,
2015;
oral examination: 2015-12-15.
-
M. Stockinger:
"Optimization of Ultra-Low-Power CMOS Transistors";
Supervisor, Reviewer: S. Selberherr, E. Bertagnolli;
Institut für Mikroelektronik,
2000;
oral examination: 2000-01-25.
-
J. Sturm:
"Photodiode Modeling and Optoelectronic Integrated Circuits for Optical-Data-Storage Applications";
Supervisor, Reviewer: H. Zimmermann, E. Bertagnolli;
Institut für Elektrische Mess- und Schaltungstechnik,
2006;
oral examination: 2006-09-27.
-
R. Szedlak:
"On the Light Emission from Ring Quantum Cascade Lasers and their Application in Spectroscopic Sensing";
Supervisor, Reviewer: G. Strasser, M. Helm;
E362,
2017;
oral examination: 2017-05-05.
-
F. Triendl:
"Microstructural and electrical characterization of Si/4H-SiC heterojunction diodes";
Supervisor, Reviewer: U. Schmid, W. Weber, M. Eickhoff;
E366,
2021;
oral examination: 2021-05-11.
-
C. Troger:
"Modellierung von Quantisierungseffekten in Feldeffekttransistoren";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
2001;
oral examination: 2001-06-18.
-
T. Uhrmann:
"Evaluation of sputter-deposited MgO-based tunneling diodes for silicon spintronics";
Supervisor, Reviewer: J. Smoliner, C. Eisenmenger-Sittner;
E362,
2010;
oral examination: 2010-05-21.
-
J. Ulrich:
"Long wave length quantum cascade lasers";
Supervisor, Reviewer: K. Unterrainer;
Institut für Festkörperelektronik,
2002.
-
E. Ungersböck:
"Advanced Modeling of Strained CMOS Technology";
Supervisor, Reviewer: H. Kosina, E. Bertagnolli;
Institut für Mikroelektronik,
2007;
oral examination: 2007-04-23.
More information
-
I. Vasiljevich:
"Design, Development and Testing of a Highly Integrated and Up-Scalable FEEP-Multi-emitter using Indium as Propellant";
Supervisor, Reviewer: G. Strasser, P. Pongratz;
E362,
2010;
oral examination: 2010-05-06.
-
S. Wagesreither:
"Strain Engineering in Intrinsic Silicon Nanowires using MEMS Devices";
Supervisor, Reviewer: A. Lugstein, A. Köck;
E362,
2015;
oral examination: 2015-06-26.
-
M. Wagner:
"Simulation of Thermoelectric Devices";
Supervisor, Reviewer: T. Grasser, E. Bertagnolli;
Institut für Mikroelektronik,
2007;
oral examination: 2007-12-18.
More information
-
S. Wagner:
"Small-Signal Device and Circuit Simulation";
Supervisor, Reviewer: T. Grasser, E. Bertagnolli;
Institut für Mikroelektronik,
2005;
oral examination: 2005-04-22.
More information
-
S. Waid:
"3D Nanoimprint Template Generation and Defect Engineering by Focused Ion Beam Direct Write Technologies";
Supervisor, Reviewer: E. Bertagnolli, W. Grogger;
E362,
2013;
oral examination: 2013-09-19.
-
C. Wasshuber:
"About Single-Electron Devices and Circuits";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
1997;
oral examination: 1997-02-18.
More information
-
K. Winkler:
"Exploration of the Anomalous Piezoresistive Effect in VLS grown Si Nanowires";
Supervisor, Reviewer: A. Lugstein, S. Barth;
Institut für Festkörperelektronik,
2015;
oral examination: 2015-11-18.
-
R. Wittmann:
"Miniaturization Problems in CMOS Technology: Investigation of Doping Profiles and Reliability";
Supervisor, Reviewer: S. Selberherr, E. Gornik;
Institut für Mikroelektronik,
2007;
oral examination: 2007-02-27.
More information
-
W. Yan:
"Operational amplifier design in advanced CMOS technologies";
Supervisor, Reviewer: H. Zimmermann, E. Bertagnolli;
Institut für Mess- und Schaltungstechnik,
2008;
oral examination: 2008-11-06.
-
T. Zahel:
"Modelling defect formation and evolution during SOI wafer fabrication";
Supervisor, Reviewer: G. Hobler, H. Cerva;
Institut für Festkörperelektronik,
2009;
oral examination: 2009-11-02.
-
T. Zederbauer:
"Mixed group V compound semiconductors for intersubband devices";
Supervisor, Reviewer: G. Strasser, Z. Wasilewski, A. Rastelli;
E362,
2017;
oral examination: 2017-05-22.
-
C. Zeiner:
"Germanium Nanowire Heterostructures and Devices";
Supervisor, Reviewer: E. Bertagnolli, T. Baron;
E362,
2015;
oral examination: 2015-03-26.
-
A. Zima:
"Entwicklung hochsensitiver Nano-Gassensoren basierend auf nanokristallinen Zinndioxid-Schichten und einkristallinen Zinndioxid-Nanodrähten / Development of highly sensitive nano-gassensors based on nanocrystalline tin dioxide thin films and single-crystalline tin dioxide nanowires";
Supervisor, Reviewer: A. Köck, E. Bertagnolli;
Institut für Festkörperelektronik,
2009;
oral examination: 2009-12-02.
-
C. Zimmermann:
"Physikalische und elektrische Charakterisierung von ALD-gewachsenen High-k Dielektrika in Germanium-basierten Schottky-Barrieren pMOSFET Bauelementen";
Supervisor, Reviewer: E. Bertagnolli, A. Köck, U. Schmid;
E362,
2018;
oral examination: 2018-04-16.
-
R. Zobl:
"Far-Infrared emission from plasmons in semiconductor quantum structures";
Supervisor, Reviewer: K. Unterrainer;
Institut für Festkörperelektronik,
2002.
Diploma and Master Theses (authored and supervised)
-
H. Abdalla:
"Carrier Lifetime Improvement in 4H-SiC by Thermal Oxidation";
Supervisor: G. Strasser;
E362,
2014;
final examination: 2014-01-29.
-
S. Abermann:
"Utilization of the potential of biomimetics in sustainable architecture: An investigation focusing on current developments in Austria";
Supervisor: B. Martens;
Architektur und Entwerfen,
2011;
final examination: 2011-05-15.
More information
-
K. Alberer:
"Elektrische insitu Erfassung und Charakterisierung von strahlinduzierten Deponaten in SEM und FIB-Anlagen";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2009;
final examination: 2009-11-26.
-
And. Amon:
"A novel axon isolation device combining microfluidic and microelectronic concepts for in vitro recording of neural electrical activities";
Supervisor: E. Bertagnolli;
E362,
2011;
final examination: 2011-11-25.
-
A. Arneitz:
"Analyse des vertikalen Leckstromverhaltens von GaN Hochspannungstransistoren auf Siliziumsubstrat unter Verwendung von dynamischen Charakterisierungsmethoden";
Supervisor: G. Strasser;
E362,
2017;
final examination: 2017-06-13.
-
M. Austerer:
"Surface Emitting Quantum Cascade Lasers";
Institut für Festkörperelektronik,
2004.
-
A. Avdic:
"FIB Generated Nanowires for CMOS Compatible pH Sensor";
Supervisor: E. Bertagnolli;
Instiut für Festkörperelektronik,
2009;
final examination: 2009-06-18.
-
M. Baghaie Yazdi:
"Dünne Schichten aus Fe3O4 für Anwendungen in magnetischen Speichern mit wahlfreiem Zugriff (MRAM)";
Supervisor: J. Smoliner;
Institut für Festkörperelektronik,
2009;
final examination: 2009-03-12.
-
B. Ban:
"Ultrasensitive Laser Measurement System Using an All-Electronic Noise Canceller";
Supervisor: E. Gornik;
Institut für Festkörperelektronik,
1999.
-
M Beiser:
"Monolithic Frequency Combs in the Mid-Infrared Using Interband Cascade Lasers";
Supervisor: G. Strasser, B. Schwarz;
E362,
2018;
final examination: 2018-10-15.
-
R Böckle:
"Ge-basierte rekonfigurierbare Transistoren: Eine Plattform zur Realisierung von Bauelementen mit negativen differentiellem Widerstand";
Supervisor: W. Weber, M. Sistani;
e362,
2021;
final examination: 2021-07-19.
-
A. Brezina:
"Entwicklung und Evaluierung eines Multi-Elektroden-Array basierten Impedanzanalysesystems zur in vitro Untersuchung von Zellwachstum und Permeabiltität von Zellschichten";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2012;
final examination: 2012-06-18.
-
W. Brezna:
"Untersuchung der Auswirkungen von Ionenstrahl-Festkörper-Wechselwirkungen auf die Funktion von n-MOSFETs";
Institut für Festkörperelektronik,
2001.
-
T. Burchhart:
"Heteroepitaktisches Wachstum von Germanium-Nanowires auf Silizium Substraten";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2007;
final examination: 2007-10-12.
-
L. Burgstaller:
"Broadband RingQCL";
Supervisor: G. Strasser, C. Schwarzer;
E362,
2013;
final examination: 2013-01-30.
-
M. Coquelin:
"Transportuntersuchungen von GaAs/AlGaAs Übergittern mittels Ballistischer Elektronenspektroskopie";
Institut für Festkörperelektronik,
2001.
-
S. Dal Cin:
"Design and Implementation of an Optical Phase Locked Loop using Interband Cascade Lasers";
Supervisor: G. Strasser;
E362,
2020;
final examination: 2020-03-17.
-
S. Damnjanovic:
"Herstellung von CMOS-kompatiblen Transwellmembranen mit funktionalen Mikrokanalrastern";
Supervisor: E. Bertagnolli;
E362,
2010;
final examination: 2010-01-15.
-
H. Detz:
"Second Harmonic Generation in Mid-Infrared Quantum Cascade Lasers with Surface Gratings";
Supervisor: G. Strasser;
Institut für Festkörperelektronik,
2007;
final examination: 2007-10-12.
-
B.K. Dielacher:
"Verfahren zur selbstjustierten Kontaktierung von epitaktisch gewachsenen Nanowires für Vertikaltransistoren";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2007;
final examination: 2007-11-30.
-
L. Dobusch:
"Thermophysical Characterization of Single-Layer MoS2";
Supervisor: A. Lugstein;
E362,
2014;
final examination: 2014-04-28.
-
C. Doiber:
"Fabrication optimization of photonic crystal quantum cascade devices";
Supervisor: G. Strasser;
E362,
2017;
final examination: 2017-04-28.
-
K. Dominizi:
"Untersuchung von Biokompatibilität und Zelladhäsion an mikrostrukturierten Materialien der Halbleitertechnologie";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
Institut für Festkörperelektronik,
2006;
final examination: 2006-03-10.
-
S.L. Dornstauder:
"Intraband Photocurrent Measurements of Quantum Dot Structures through Monochromatic Excitation";
Supervisor: J. Smoliner;
Institut für Festkörperelektronik,
2009;
final examination: 2009-03-12.
-
Mat. Eberl:
"Investigation of Biomolecule-Immobilization on Microstructured Gold for Bioelectronic Interfaces";
Supervisor: E. Bertagnolli;
E362,
2011;
final examination: 2011-03-11.
-
Mat. Eberl:
"Investigation of Novel Light Emitting Organic Materials Towards the Use as Optical Stimulator for Bio-Electronic Microdevices";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2016;
final examination: 2016-06-16.
-
E. Edlinger:
"Quantum Confinement and Bandgap Modification in Silicon Nanowires";
Supervisor: A. Lugstein;
E362,
2015;
final examination: 2015-01-22.
-
G. Fehlmann:
"Untersuchungen von Modellen für die Monte-Carlo-Simulation";
Supervisor: G. Hobler;
Institut für Festkörperelektronik,
2000.
-
M. Fischeneder:
"In-Situ Impedanzmessung an biologischen Caco-2 Zellen mit IDES Elektroden";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2009;
final examination: 2009-11-26.
-
M. Flatscher:
"Mikrofabrikation dreidimensionaler Nanoelektroden für Heart-on-a-Chip-Systeme";
Supervisor: H. D. Wanzenböck;
E362,
2019;
final examination: 2019-10-04.
-
R. Gansch:
"Tuning of Resonances in Photonic Crystal Slabs";
Supervisor: G. Strasser;
E362,
2010;
final examination: 2010-11-26.
-
M. Gillinger:
"Elektrische Transportuntersuchungen an quasi 1D NiGe/Ge Heterostrukturen";
Supervisor: E. Bertagnolli, A. Lugstein;
E362,
2012;
final examination: 2012-01-13.
-
M. Glaser:
"Focused Ion Beam Synthesis, Photoluminescence and Raman Spectroscopy of Nanopatterned Graphite";
Supervisor: E. Bertagnolli;
E362,
2011;
final examination: 2011-10-07.
-
S. Glassner:
"Electrostatic modulated Schottky- and interband tunnelling in silicon nanowire heterostructure devices";
Supervisor: A. Lugstein;
E362,
2014;
final examination: 2014-05-08.
-
Z. Gökdeniz:
"Maskenlose Nano-Modifikation von Germanium-Halbleiterbauelementen mittels Chlor-basierter Elektronenstrahl-Prozessierung";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2016;
final examination: 2016-11-18.
-
H. Goeritz:
"Development of a microfluidic dual-compartment cell-chip for cell co-culture models for pharmaceutical screening";
Supervisor: H. D. Wanzenböck, P. Schuller;
E362,
2018;
final examination: 2018-11-22.
-
S. Golka:
"Raster-Kapazitäts-Mikroskopie an Dotierprofilen in Halbleitern";
Institut für Festkörperelektronik,
2001.
-
D. Graf:
"Synthese und Charakterisierung von verdrahteten Germanium Quantenpunkten";
Supervisor: A. Lugstein;
E362,
2018;
final examination: 2018-10-05.
-
J.M. Greil:
"Nanowire-enhanced Silicon Solar Cells";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2009;
final examination: 2009-11-26.
-
K. Gruber:
"Processing of Bragg Reflectors with Saturable Absorbers and LT-GaAs Emitter";
Supervisor: G. Strasser;
Institut für Festkörperelektronik,
2004.
-
G. Haberfehlner:
"Thermal Imaging of Smart Power DMOS Transistors in the thermally unstable regime";
Supervisor: D. Pogany;
Institut für Festkörperelektronik,
2009;
final examination: 2009-11-26.
-
P. Hagl:
"Entwicklung eines Mikroelektrodenarrays zur Erfassung von Zellen in einem Nährmedium";
Supervisor: H. D. Wanzenböck;
Institut für Festkörperelektronik,
2005.
-
S. Halama:
"Untersuchung der thermischen Oxidation von Silicium mit Hilfe molekulardynamischer Simulationsmethoden";
Supervisor: G. Hobler, S. Selberherr;
Institut für Mikroelektronik,
1989.
-
A. Harrer:
"Resonance Tuning of Photonic Crystal Intersubband Detectors";
Supervisor: G. Strasser, R. Gansch;
E362,
2013;
final examination: 2013-06-20.
-
G. Hauer:
"Synthesis, Doping and Characterization of Silicon Nanowires";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2009;
final examination: 2009-03-12.
-
M. Heer:
"Holographic Interferometric Investigation of Power Devices under ESD-Stress";
Supervisor: D. Pogany;
Institut für Festkörperelektronik,
2005.
-
S. Heiss:
"Konfokale Mikro-Ramanspektroskopie von verspannten Silizium Nanowires";
Supervisor: E. Bertagnolli;
Insitut für Festkörperelektronik,
2012;
final examination: 2012-11-28.
-
G. Hobler:
"Monte-Carlo Simulation der Ionenimplantation";
Supervisor: S. Selberherr, E. Langer;
Institut für Allgemeine Elektrotechnik und Elektronik,
1985.
-
A. Höfer:
"Entwicklung von Nanostamps für die Nanoimprintlithografie von 20 nm Strukturen";
Supervisor: E. Bertagnolli;
E362,
2011.
-
M. Hörtlackner:
"Thermische Desorptionsspektroskopie und Prozessgasanalytik zur Optimierung elektronenstrahlinduzierter Abscheidungen in einem gasdruckvariablen Elektronenmikroskop";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2009;
final examination: 2009-10-09.
-
Seb. Hofer:
"Fabrication of advanced microelectrodes for the promotion of selective cardiomyocyte adhesion";
Supervisor: H. D. Wanzenböck;
E362,
2020;
final examination: 2020-06-18.
-
M. Holzbauer:
"Coherent THz-Emission from Current-Driven Plasma Instabilities";
Supervisor: E. Gornik;
E362,
2012;
final examination: 2012-07-05.
-
Y. Hosseinnia:
"Photolumineszenzuntersuchungen an plasmonisch gekoppelten Silizium Nanodrähten";
Supervisor: A. Lugstein;
E362,
2018;
final examination: 2018-05-15.
-
B. Ismail:
"Tailoring the electrical properties of silicon nanowires using focused electron beam induced processing";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2014;
final examination: 2014-01-29.
-
H. Kainz:
"Simulation und experimentelle Verifikation von mechanischen Verspannungen in thermisch oxidierten Silizium Nanodrähten";
Supervisor: A. Lugstein;
E362,
2018;
final examination: 2018-10-03.
-
S. Kalchmair:
"Image Improvement by Structured Illumination in Ultramicroscopy";
Supervisor: H. Dodt;
Institut für Festkörperelektronik,
2008;
final examination: 2008-06-12.
-
P. Kamvar:
"Untersuchungen der Temperaturverteilung und Fehleranalyse an ESD-Schutzstrukturen";
Supervisor: D. Pogany;
Institut für Festkörperelektronik,
2000.
-
T. Kaposi:
"Electronic Transport Effects in III-V Semiconductor Heterostructures";
Supervisor: E. Gornik, J. Smoliner;
E362,
2012;
final examination: 2012-04-19.
-
C. Karall:
"Herstellung neuartiger Organic Light Emitting Diodes (OLEDs) mittels Spin-on-prozessierter 4,4'-(2,2'-Bithiophen-5,5'-diyl)bis[N,Nbis(4-fluorphenyl)]benzolamine";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2012;
final examination: 2012-10-16.
-
A. Kitzler:
"Electrical and Electro-optical Characterization of Novel Si/GaAs Nanowire Heterostructures";
Supervisor: A. Lugstein;
E362,
2015;
final examination: 2015-08-12.
-
A. Kleinl:
"Berechnung der Kapazitätsbeläge von Freileitungen";
Supervisor: W. Gawlik, B. Schwarz;
E370,
2020;
final examination: 2020-04-24.
-
H. Knötig:
"Characterization of single-mode emitting interband cascade lasers and their application in 2f-wavelength modulation spectroscopy";
Supervisor: G. Strasser, B. Hinkov;
E362,
2018;
final examination: 2018-09-26.
-
S. Kral:
"Herstellung und Charakterisierung von monolithischen Nanodraht-Bauelementen mit Metall-Halbleiter-Heteroübergang";
Supervisor: E. Bertagnolli, A. Lugstein;
E362,
2014;
final examination: 2014-04-28.
-
M. Kriz:
"Ga Nukleation, Positionierung und optische Charakterisierung von Ga katalysierten Nanodrähten";
Supervisor: G. Strasser, H. Detz;
E392,
2015;
final examination: 2015-08-12.
-
J. Kröll:
"Few cycle Terahertz spectroscopy and imaging";
Supervisor: J. Darmo;
Institut für Festkörperelektronik,
2003.
-
R. Krzyzanowski:
"Self-Assembled InAs Quantum Dots in and on AlGaAs Matrix";
Supervisor: G. Strasser;
Institut für Festkörperelektronik,
2009;
final examination: 2009-06-18.
-
S. Kutkurezovic:
"FIB Nanostrukturierung von 3D Nanoimprint Stempeln";
Supervisor: E. Bertagnolli;
E362,
2010;
final examination: 2010-06-18.
-
P. Lampacher:
"Dram-Leseverstärker mit Mismatchkompensation der Entscheidertransistoren";
Supervisor: G. Hobler;
Institut für Festkörperelektronik,
1999.
-
O. Lang:
"SMM Modeling and Capacitance Voltage Curves";
Supervisor: J. Smoliner;
E362,
2014;
final examination: 2014-01-29.
-
A. Lausch:
"Herstellung und Charakterisierung eines nanoskaligen Kaltkathoden-Ionisationsmanometers";
Supervisor: E. Bertagnolli, A. Lugstein;
E362,
2012;
final examination: 2012-10-16.
-
H. Leonhardt:
"Spin-Orbit Coupling Effects and 2-Dimensional Electron Gas in InGaAs/GaAsSb heterostructures";
Supervisor: J. Smoliner, J. Silvano de Sousa;
E362,
2013;
final examination: 2013-06-20.
-
A. Maese Novo:
"Optical waveguide dispersion characterization on a CMOS compatible photonic platform";
Supervisor: G. Strasser;
E362,
2018;
final examination: 2018-11-26.
-
J. Maier:
"Modeling III-V Semiconductor Interfaces at an Atomistic Level using Empirical Potentials";
Supervisor: G. Strasser, H. Detz;
E362,
2016;
final examination: 2016-04-14.
-
P. Marko:
"Low Frequency Noise and Degradation Mechanisms in GaN based HEMTs";
Supervisor: D. Pogany, A. Alexewicz;
E362,
2012;
final examination: 2012-04-20.
-
M. Messner:
"Photo- und Elektrolumineszenzuntersuchungen an ultradünnen Silizium Nanowires";
Supervisor: E. Bertagnolli, A. Lugstein;
E362,
2014;
final examination: 2014-01-29.
-
M. Mijic:
"Raman-Untersuchungen an einzelnen VLS gewachsenen Germanium-Nanodrähten";
Supervisor: E. Bertagnolli;
E362,
2010;
final examination: 2010-06-18.
-
J.K. Mika:
"Entwicklung eines Messsystems zur Erfassung von Aktionspotentialen von in-vitro kultivierten Nervenzellen mittels mikrostrukturierten Elektrodenarrays";
Supervisor: E. Bertagnolli;
E362,
2011.
-
A. Moderbacher:
"Development of electrical characterization techniques for nanowire based GaN";
Supervisor: J. Smoliner;
E362,
2014;
final examination: 2014-11-28.
-
T. Müller:
"THz Emission from Quantum Beats in Semiconductor Quantum Wells";
Supervisor: K. Unterrainer;
Institut für Festkörperelektronik,
2000.
-
E. Mujagic:
"Impact of doping density in short-wavelength indium phosphide quantum cascade lasers";
Supervisor: G. Strasser;
Institut für Festkörperelektronik,
2007;
final examination: 2007-04-26.
-
C. Ostermaier:
"Interface Characterization Methods and Properties of GaN Metal-Insulator-Semiconductor Structures";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2008;
final examination: 2008-06-12.
-
C. Pacher:
"Untersuchung von Übergittertransport für THZ-Quellen";
Supervisor: C. Rauch;
Institut für Festkörperelektronik,
1999.
-
A. Parlar:
"Herstellung und Charakterisierung von GaN-Heterostruktur Feldeffekttransistoren";
Supervisor: G. Strasser, A. Alexewicz;
E362,
2013;
final examination: 2013-11-20.
-
W. Parz:
"Intersubband Relaxation Dynamics in Quantum Structures";
Supervisor: T. Müller;
Institut für Festkörperelektronik,
2002.
-
C. Peter:
"ransepitheliale impedanzspektroskopische 4-Punkt- Messung von Caco-2-Zellen und -Geweben mittels Chipdevices";
Supervisor: E. Bertagnolli;
Insitut für Festkörperelektronik,
2012;
final examination: 2012-03-22.
-
A. Pfnier:
"Current Transport in InGaAs Quantumwells";
Supervisor: E. Gornik;
E362,
2011;
final examination: 2011-03-11.
-
R. Pichler:
"Untersuchung der Zündhomogenität von gg-nMOS ESD-Schutzstrukturen mittels optischer Interferometrie";
Supervisor: D. Pogany;
Institut für Festkörperelektronik,
2004.
-
F. Pilat:
"Fabrication and Analysis of Quantum Cascade Lasers for Microfluidic Applications";
Supervisor: G. Strasser;
E362,
2020;
final examination: 2020-11-06.
-
J. Popovic:
"Vergleichende Studie zur elektrischen Charakterisierung von Zellkulturen vom Typ CaCo-2";
Supervisor: E. Bertagnolli;
E362,
2011;
final examination: 2011-10-07.
-
M. Pribyl:
"3D-Substrat für Herzmuskelzellen mittels Mikrofabrikation und Nanoimprinting";
Supervisor: H. D. Wanzenböck;
E362,
2019;
final examination: 2019-10-05.
-
T. Prikoszovits:
"Terahertz Characterization and Imaging of Semiconductors";
Supervisor: K. Unterrainer, J. Darmo;
Institut für Photonik,
2006;
final examination: 2006-04-27.
-
S. Pyka:
"C-V Characterisation of MOCVD GaN p-n junctions for high-power HFETs";
Supervisor: G. Strasser, M. Capriotti;
E362,
2014;
final examination: 2014-06-12.
-
M. Reichenpfader:
"Investigation of advanced nanomagnetic elements in nanomagnetic logic";
Supervisor: H. D. Wanzenböck, E. Bertagnolli;
E360,
2019;
final examination: 2019-04-12.
-
P. Reininger:
"Photonic crystal slab resonators: Modeling and optimization";
Supervisor: G. Strasser;
E362,
2011;
final examination: 2011-10-05.
-
T. Riel:
"Signal Extraction and Stimulation Platform for Neuronal Cell Cultures on Micro Electrode Array Biochips";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2013;
final examination: 2013-04-25.
-
S. Schartner:
"Quantum cascade laser resonators";
Supervisor: G. Strasser;
Institut für Festkörperelektronik,
2005.
-
I. Schmied:
"Impedance spectroscopy as a non-destructive in-process control approach for neurochip fabrication";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2017;
final examination: 2017-06-13.
-
L. Schneider:
"Aufbau und Evaluierung eines Messsystems zur ortsaufgelösten Erfassung elektrischer Aktivitätsmuster von in-vitro kultivierten Nervenzellen durch mikrostrukturierte extrazelluläre Elektroden-Arrays auf einem Biochip";
Supervisor: E. Bertagnolli;
Insitut für Festkörperelektronik,
2012;
final examination: 2012-02-01.
-
C. Schöndorfer:
"TEM-Untersuchung von gesputterten Co-Pd-Schichten für magnetische Datenspeicher mit höchster Dichte";
Supervisor: J. Fidler, S. Höfinger;
Institut für Festkörperphysik,
2004.
-
M. Schramböck:
"Quantitative CV-Measurements with the Atomic Force Microscope";
Supervisor: J. Smoliner;
Institut für Festkörperelektronik,
2004.
-
P. Schuller:
"Dissection and Culturing of DRG, SG and FB Nerve Cells for Application in Customized Microelectronic Neurite Isolation Devices";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2016;
final examination: 2016-10-07.
-
P. Schwaha:
"Quantum Cascade Ring Lasers";
Supervisor: G. Strasser;
Institut für Festkörperelektronik,
2004.
-
M. Schwantzer:
"InGaAs / GaAsSb Resonant Tunneling Diodes";
Supervisor: G. Strasser;
E362,
2011;
final examination: 2011-04-15.
-
B. Schwarz:
"Simulation of Random Dopant Fluctuations with a Quantum Corrected Drift Diffusion Model";
Supervisor: T. Grasser, M. Bina;
Institut für Mikroelektronik,
2011;
final examination: 2011-06-17.
-
S. Simsek:
"Untersuchung von ALD high-k Oxiden in MIM-Kondensatoren und Optimierung der Spannungs-Nichtlinearität für Zirkonoxid";
Supervisor: E. Bertagnolli, O. Bethge;
E362,
2014;
final examination: 2014-10-10.
-
M. Sistani:
"Ballistic Transport Phenomena in Al-Ge-Al NW Heterostructures";
Supervisor: A. Lugstein;
E362,
2016;
final examination: 2016-09-16.
-
K. Slama:
"Simulation der Ionenimplantation von Bor in Siliziumeinkristallen bei niedrigen Energien";
Supervisor: S. Selberherr, G. Hobler;
Institut für Mikroelektronik,
1988.
-
O. Solfronk:
"Herstellung und Charakterisierung verschiedener Passivierungen für die Germanium - Dielektrikum Grenzfläche mit Hilfe einer plasma enhanced ALD";
Supervisor: W. Weber, M. Sistani;
e362,
2021;
final examination: 2021-12-15.
-
M. Stabentheiner:
"Untersuchung der Gateleckstrom-Degradation bei pGaN Devices";
Supervisor: D. Pogany;
E362,
2018;
final examination: 2018-10-03.
-
I. Stanojlovic:
"Qualitätsanalyse und Verbesserung der Layout-Parasitenextraktion für Deep Submicron Technologien bei IFX";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2009;
final examination: 2009-06-18.
-
D. Sticker:
"Microstructured Impedance System for Contactless In Vitro Monitoring of Silver Nanoparticle Cytotoxicity";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2012;
final examination: 2012-06-18.
-
M. Svarc:
"Herstellung von doppelseitigen freitragenden mikrostrukturierten Elektroden";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2008;
final examination: 2008-11-28.
-
R. Szedlak:
"Beam Modifications of Ring Quantum Cascade Lasers";
Supervisor: G. Strasser;
Insitut für Festkörperelektronik,
2013.
-
P. Taus:
"Focused Electron Beam Induced Deposition of High Purity Gold Dots Enabling Surface Functionalization for Biomedical Applications";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2016;
final examination: 2016-03-10.
-
L. Varain:
"A microporous membrane-based supply channel for a two-compartment microfluidic system for axon research";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2017;
final examination: 2017-06-13.
-
B. Vetter:
"Flexibles, mikrofluidisches Zellimpedanzsystem zur Langzeitvermessung dielektrischer Zelleigenschaften unter programmierbaren";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2016;
final examination: 2016-04-14.
-
S. Wachter:
"Carrier gas enhanced focused electron beam induced deposition of cobalt for 3D nanomagnet logic devices";
Supervisor: E. Bertagnolli, H. D. Wanzenböck;
E362,
2014;
final examination: 2014-10-10.
-
S. Wagesreither:
"Lithium Diffusion in Silizium Nanostrukturen";
Supervisor: E. Bertagnolli;
E362,
2011;
final examination: 2011-10-07.
-
S. Waid:
"Strukturierung und Modifikation von Nano-Imprint-Lithographie-Stempeln mittels fokussiertem Ionen-Strahl";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2009;
final examination: 2009-11-26.
-
L. Wind:
"Herstellung und Charakterisierung von Al-Ge-Al Heterostrukturen auf Waferebene";
Supervisor: A. Lugstein;
e362,
2021;
final examination: 2021-02-15.
-
K. Winkler:
"Synthese und elektrische Charakterisierung von Si Nanowire-GaAs Heterostrukturen";
Supervisor: E. Bertagnolli;
E362,
2011;
final examination: 2011-11-25.
-
J. Wissenwasser:
"Der Einfluss wasserstoffbehafteter Siliziumprozessschritte auf die elektrischen Eigenschaften und die Zuverlässigkeit von Leistungshalbleiterbauelementen";
Supervisor: E. Bertagnolli;
Institut für Festkörperelektronik,
2006;
final examination: 2006-01-13.
-
T. Zahel:
"Investigation of the isotope effect in hydrogen induced blistering of silicon using kinetic Monte Carlo Simulation";
Supervisor: G. Hobler;
Institut für Festkörperelektronik,
2005.
-
T. Zederbauer:
"Numerical Studies on Ring-Cavity Surface-Emitting Semiconductor Lasers";
Supervisor: G. Strasser;
E362,
2010;
final examination: 2010-11-26.
-
C. Zimmermann:
"Atomlagenabscheidung (ALD) von Yttrium-Oxid Schichten auf (100)-Germanium Substraten für CMOS Anwendungen";
Supervisor: E. Bertagnolli, O. Bethge;
E362,
2012;
final examination: 2012-11-23.
Scientific Reports
-
S. Abermann, W. Brezna, J. Smoliner, E. Bertagnolli, E. Gornik:
"SINANO-12 month progress report";
2005;
5 pages.
-
A.M. Andrews, A. Benz, G. Fasching, C. Deutsch, M. Austerer, W. Schrenk, K. Unterrainer, G. Strasser:
"Non-linear optics and photonics in quantum cascade lasers";
Report for Physics of InterSubband Emitters (POISE);
2007;
11 pages.
-
A.M. Andrews, A. Benz, G. Fasching, C. Deutsch, M. Austerer, W. Schrenk, K. Unterrainer, G. Strasser:
"Physics of InterSubband Emitters (POISE) 36-Month Report: Vienna University of Technology Contribution";
Report for Physics of InterSubband Emitters (POISE);
2007;
11 pages.
-
P. Beck, G. Hobler, A. Köck, S. Rollet, E. Wachmann, M. Wind:
"RADSI - Radiation Hardness of Silicon Nanostructures, Technical final report";
2008.
-
P. Beck, G. Hobler, E. Wachmann:
"RADSI Progress Report";
2007.
-
E. Bertagnolli, H. D. Wanzenböck, M. Fischer, G. Hochleitner:
"Projektbericht FEB-CVD";
2005;
42 pages.
-
W. Boxleitner, G. Hobler:
"High Quality Sample Operation for Nanometric Analysis and Testing Equipments";
2000.
-
E. Gornik, D. Pogany, C. Fürböck:
"Report on failure analysis of semiconductor devices";
2000.
-
E. Gornik, D. Pogany, C. Fürböck, M. Litzenberger:
"Endbericht für Ministery Projekt";
2000.
-
E. Gornik, D. Pogany, C. Fürböck, M. Litzenberger:
"New characterisation methods for development of ESD protection structures";
2000.
-
G. Hobler:
"IMSIL Code Modification and Calibration for H inplantations into GaN";
2007.
-
G. Hobler, D. Kovac:
"Interim Report on FIBSIM Code Development";
2007.
-
G. Hobler, T. Zahel:
"Kinetic Monte Carlo studies of Smart Cut technology in Si";
2007.
-
P.O. Kellermann, E. Gornik:
"Oberflaechenemittierende monomodige Laserdioden bei 650nm mit postepitaktischer Wellenlaengenadjustierung";
2000.
-
J. Kuzmik:
"Final activity report";
2008.
-
J. Kuzmik:
"Ultragan 3nd annual activity report";
2008.
-
J. Kuzmik, D. Pogany:
"Ultragan 2nd annual activity report";
2007.
-
J. Kuzmik, D. Pogany:
"ULTRAGAN contractual Deliverable D3.1: Insulated gate InAlN/GaN HEMT";
2007.
-
J. Kuzmik, D. Pogany:
"ULTRAGAN contractual Deliverable D3.2: Passivated InAlN/GaN HEMT";
2007.
-
J. Kuzmik, D. Pogany:
"Ultragan contractual Deliverable D4.2: Thermal condcutivity measurement results on InAlN materials";
2007.
-
J. Kuzmik, D. Pogany:
"Ultragan contracutal Deliverable D5.2: Report on preliminary evaluation on the breakdown mechanisms";
2007.
-
S. Lindsey, G. Hobler, C. Rue, M. Maazouz:
"Focused Ion Beam Simulation - Investigation of the Curtaining Effect in TEM Sample Preparation";
2012.
-
D. Pogany:
"Final SIDRA Medea + projekt report to FFG";
2007.
-
D. Pogany:
"Report on ESD measurements by TIM to AMIS";
2007.
-
D. Pogany:
"Report on ESD measurements by TIM to Atmel";
2007.
-
D. Pogany:
"Report on ESD measurements by TIM to LAAS";
2007.
-
D. Pogany:
"Report on ESD measurements by TIM to NXP (SIDRA)";
2007.
-
D. Pogany:
"Report on ESD measurements by TIM to Texas Instruments";
2007.
-
D. Pogany:
"Report on latch-up TIM measurements for ZETEX";
2007.
-
D. Pogany:
"Report on TIM thermal measurements to IMS/NXP";
2007.
-
D. Pogany:
"Reports on TIM measurements of ESD protection devices to Infineon";
2007.
-
F.F. Schrey, G. Fasching, A. Müller, G. Strasser, K. Unterrainer:
"Ultrafast spectroscopy of QD structures for mid-infrared applications";
Report for The Society for Microelectronics - Annual Report 2006;
2006;
4 pages.
-
H. D. Wanzenböck:
""ENHANCE" - Midterm Review of the Marie-Curie International Training Network";
2011.
-
H. D. Wanzenböck:
"3-dimensionale NIL Master und Abformung von 3D-Fresenl-Linsen";
2014;
10 pages.
-
H. D. Wanzenböck:
"NextGen-FEBIP - Jahresbericht";
Report for Carl Zeiss NTS;
2008.
-
H. D. Wanzenböck:
"NextGen-FEBIP - Zwischenbericht";
Report for Carl Zeiss NTS;
2008.
-
H. D. Wanzenböck:
"NILaustria_I - Final Projekt report of the NILaustria programme of the Austrian Nanoinitiative";
2011.
-
H. D. Wanzenböck:
"NILaustria_I - M36-Report (Project report of Month 36 of the NILaustria programme of the Austrian Nanointiative";
2011.
-
H. D. Wanzenböck:
"Progress report of Vienna University of Technology 3rd year (Oct. 2011 - Sept. 2012)";
2012;
10 pages.
-
H. D. Wanzenböck:
"WireCat";
Report for FWF;
2011.
-
T. Zahel, G. Hobler:
"IMSIL-kLMC";
2009.
-
T. Zahel, G. Hobler:
"Kinetic Monte Carlo studies of Smart Cut technology in Si: Final report";
2009.
-
T. Zahel, G. Hobler:
"Kinetic Monte Carlo studies of Smart Cut technology in Si: Platelet model and influence of He damage on platelet formation";
2008.
-
T. Zahel, G. Hobler:
"Kinetic Monte Carlo studies of Smart Cut technology in Si: The influence of He damage on defects generated by H and He co-implantation";
2008.